• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(235)
  • 리포트(231)
  • 시험자료(3)
  • ppt테마(1)

연관검색어

"stopwatch" 검색결과 121-140 / 235건

  • (디지털시스템설계)VHDL Digital Stop Watch 제작 계획서
    Digital Stop Watch Design midterm presentation Main Project 조 (,,,) 계 획 서List Main Project 의 목표 2 Project 내용 설명 및 팀원별 역할 3 Main Project 의 중요성 1VHDL 작성..
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.01
  • 베릴로그로 코딩한 스탑워치
    Verilog를 이용하여 StopWatch를 구현함.컨트롤러와 카운터를 이용하여 만든 스탑워치btnA를 누를때마다 스탑워치를 start 혹은 stop동작시키고,btnB를 누르면 카운터를
    리포트 | 3,000원 | 등록일 2012.11.19
  • 전자회로실험 - Digital Stop Watch2
    1. 실험제목 : Digital Stop Watch2. 학번, 반, 조, 이름 : 0000000000 0반 0조 ㅇㅇㅇ3. 제출일 : 2010년 10월 13일 수요일4. 실험목적? 랩뷰를 이용해서 100ms발진회로를 만들어보고 카운터되는 숫자를 화면에 출력해보자.? 7..
    리포트 | 9페이지 | 2,000원 | 등록일 2013.05.16
  • 일반물리실험-예비,결과레포트-중력가속도의 측정
    실험방법1) 공 조임기를 연직방향으로 지지대에 고정시키고 쇠구슬이 낙하할 거리 h를 적당히 정한다.2) 측정 장치를 스마트 계시기에 연결한 후 계시기를 켜고 time stopwatch ... (y _{i} -y) ^{2}} =5.31 TIMES 10 ^{-3} 이고sigma _{a} = sigma _{y} sqrt {{sum _{i=1} ^{N} x _{i} ^{2}} ... } =1.20 TIMES 10 ^{1},sum _{i=1} ^{15} x _{i} y _{i} =1.38 이고D=N sum _{i=1} ^{N} x _{i} ^{2} -( sum _
    리포트 | 8페이지 | 1,000원 | 등록일 2015.02.18 | 수정일 2020.04.06
  • MainProject-StopWatch(VHDL)
    된다. push button 2는 start/stop 스위치로 toggle로 동작되며, 누라다 떼면 stop watch는 동작한다. ... (integer to 7 segment converter, 입력 값 : 0∼99, 십의 자리와 일의 자리 분리, 각각의 자리값에 대한 7 segment로의 변환) stop watch의 ... 시작을 알리는 start 신호, stop 신호, 처음으로 되돌아가는 reset 신호가 필요하다. push button 1은 reset 스위치로 이에 의해 stop watch는 초기화가
    리포트 | 24페이지 | 3,000원 | 등록일 2010.12.14
  • VHDL을 이용한 세계시계 구현
    => sec);-- 스탑워치I_stopwatch:stopwatchport map( gclk => gclk,set_btn => set_btn, reset_btn => reset_btn ... => clk,mode_main => mode_main,hour => hour, min => min, sec => sec,s_m => s_m,s_s => s_s, s_ss => s_ss ... ,mode_main => mode_main,s_m => s_m,s_s => s_s, s_ss => s_ss );-- 디스플레이I_display:displayport map( clk
    리포트 | 16페이지 | 4,000원 | 등록일 2012.05.18
  • 7장 마케팅원론 생각해볼문제
    예를 들면 스포츠용으로 포지션된 시계는 거친 야외활동에 적합하도록 스테인레스로 된 외장과 방수기능, 내구성, stopwatch 기능 등의 제품특성을 갖추는 것이 바람직할 것이다. ... 기업은 A/S제도를 통해 고객의 상표충성도를 높일 수 있는데, 삼성전자가 무상 품질보증제도를 통해 상표충성도를 제고시킨 경우가 그 예이다.⑤ 설치설치란 설비 담당기사를 파견하여 즉각적인 ... 배달체계의 도입은 고객들이 자사제품을 선택하는 유인책이 될 수 있는데, 예를 들어 맥도날드는 오토바이를 이용한 배달서비스를 도입해 취급제품에 대한 매력도와 매출을 높였다.④ A/SA/S란
    리포트 | 5페이지 | 1,000원 | 등록일 2015.06.22
  • 전자전기컴퓨터설계2 FinalProject [A+]
    10,S_1};endelse if (EN_SW)beginSW_TEXT = {SW_H,`space,`space,`space,`space,SW_M10,SW_M1,`col,SW_S10,SW_S1 ... Verilog Code ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥4-71- Verilog Code : 변수 및 상수선언, one shot 설정‥‥‥‥‥‥‥‥4-7- Verilog Code ... 8-36- Verilog Code : Digital Clock‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥37-48- Verilog Code : Digital Clock Control 및 one shott
    리포트 | 82페이지 | 1,000원 | 등록일 2017.10.19
  • Newton 유체의 점성률 사전보고서
    , stopwatch, 천평, 피펫, ethanol- 항온조유리 · 염화비닐 또는 단열재(斷熱材)로 둘러싼 용기로, 속에 넣은 물의 온도를 일정하게 유지하기 위해 열원(熱源) · 온도조절기 ... 즉 1 poise = 1g/cm·s = 100cP = 0.1kg/m·s로 표시할 수 있으며, 1cP = 0.01g/cm·s = 0.00672Ibm/ft·s = 2.42Ibm/ft·hr로 ... 이때 비례상수 η가 점성도이다.일반적인 단위는 kg/m·s 또는 Pa·s로 표시한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2013.11.21
  • [디지털설계] 디지털 시계 설계
    개 요설계에 앞서 디지털 시계의 기본적인 기능에 대해OD6 카운터의 회로도와 Wave form은 아래와 같다.130ns 전 까지는 10진 카운터의 MSB가 set 되지 않은 상태이고 ... MOD12 동기식 카운터(12진 카운터)이 회로는 1에서 12까지 카운트 하는데 여기서 Mod6 나 Mod10에 비해 틀린것은 1부터 카운트를 시작하는 것과 10이 되면 MSB는 set되고 ... 현상이 나타나게 되는데 이를 제거해주는 회로로 DEBOUNCE회로라 하며 F/F의 CLK에 100HZ의 신호를 사용함으로써 F/F의 입력은 매 100HZ마다 입력을 받게되고 1/100S
    리포트 | 36페이지 | 1,000원 | 등록일 2005.06.19
  • 등속 등가속도 운동 예비레포트
    실험도구- Dynamics Cart- pulley and pulley clamp- mass set- stopwatch- string- paper clips- block- balance ... Experiment 1Kinematics필요장비- Dynamics Carts- Metric tape- stopwatch실험목적한 물체가 어떠한 특정 위치까지 도달 하는데 걸린 시간과 ... 필요장비- Dynamics Carts- Metric tape- stopwatch? 실험 목적경사각과 마찰계수가 가속도에 미치는 영향을 실험을 통해 알아본다.?
    리포트 | 6페이지 | 1,500원 | 등록일 2009.05.07
  • [디지털시스템]디지털 시계의 VHDL Code 구현 및 설명 (스톱위치, 시간세팅, 시간) & MAX PLUS 2 사용법 설명,
    -- 2]botton to return the stopwatch_mode of clear at any stopwatch condition state_flickering : out ... ,stop in the stopwatch_mode botton_function2 : in std_logic; -- 1]botton to increase ... -- ("00" :timedisplay_mode, "01" :timeset_mode, "10" :stopwatch_mode
    리포트 | 23페이지 | 1,500원 | 등록일 2006.01.13
  • 축전기의전기용량과RC회로의시상수측정실험
    비록 실험을 정확히 하려고 노력했지만 상대오차가 평균 약35%정도 났다.오차의 원인을 살펴보면,첫째, stopwatch를 이용해서 시간마다 전위차를 측정하는데 정확하게 stopwatch
    리포트 | 3페이지 | 1,500원 | 등록일 2009.12.02
  • 제조공정 Term Project Final Report
    , Sensors, Versatile functions for users(time, date, stopwatch etc.)Motor & Controller36V Lithium-ion ... battery, PASSensors*Speed sensor : Vehicle speed, Crank speed*Torque sensor : Efforts Measurement*Slope ... 주력 분야 : 전기 자전거 개발(invention)과 생산(production) 및 판매(sales)Ⅰ-2.
    리포트 | 18페이지 | 2,500원 | 등록일 2013.06.18 | 수정일 2014.05.18
  • Review of sicko
    That includes the government, who quite often are the ones holding the stopwatch, bending the rules to ... Review of SickoI have seen on of the Michal Moore’s movie the name is Fahrenheit 9/11. ... at all.According to Moore, it’s a scandal that can be traced back to Richard Nixon.
    리포트 | 1페이지 | 1,000원 | 등록일 2010.12.27
  • R-C 시상수측정_일반 물리학 실험 레포트
    (이때는 stopwatch를 이용하는 것이 편리할 것이다.) 방전과정에서 측정한를 T라 할 때의 수식 (2)와 (3)을 과정 ⑦에서 그린 그래프와 비교하라. 측정한와가 같은가?
    리포트 | 5페이지 | 1,000원 | 등록일 2012.10.30 | 수정일 2023.08.11
  • [전자계열 (VHDL)] STOP WATCH (VHDL)
    - Key Check• clock과 reset을 제외하고 외부에서 들어오는 모든 신호( start, hour_up, hour_down, min_up, min_down )를 이 블록을
    리포트 | 8페이지 | 1,500원 | 등록일 2003.06.26
  • 출혈시간 측정 혈병수축능측정 BT
    혈액이 자연히 나오기 시작하면 stopwatch를 누르고 30초마다 filter paper를 가볍게 접촉시킨다.? ... 혈반점이 점점 작아져서 완전히 지혈될 때 stopwatch 작동을 중지시켜 시간을 측정한다.(혈반점의 수에 의해서도 출혈시간을 알 수가 있다).③ 참고치? ... 누르고 30초마다 filter paper를 가볍게 접촉시킨다.④혈반점이 점점 작아져서 완전히 지혈될 때 stopwatch 작동을 중지시켜 시간을 측정한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2009.06.15
  • Explaining 에세이. 기술발전의 장점
    The electronic stopwatch is another technological benefit. The electronic stning, and rowing. ... The International Olympic Committee knows the exact time of competitors by using the electronic stopwatch ... /stories/s1126942.htm" http://www.abc.net.au/southeastsa/stories/s1126942.htm>.Weiss, Kevin.
    리포트 | 4페이지 | 1,500원 | 등록일 2010.02.14
  • 논리 설계 및 실험, 부산대학교, 논리 설계 텀프로젝트, 디지털 시계 설계 (예비,결과 보고서 및 PPT 포함)
    이 프로그램은 부산대학교 논리설계및 실험 과목 텀프로젝트 디지털 시계 소스코드입니다.본 프로그램에는 PPT(30장 이상) 예비보고서 (30장 이상) , 결과 보고서(80장 이상)이 포함되어있고,디지털 시계의 모든 모듈이 저장되어있습니다.분주회로, 카운터, MUX, D..
    리포트 | 10,000원 | 등록일 2014.12.22 | 수정일 2016.02.10
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:10 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대