• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,675)
  • 리포트(1,531)
  • 자기소개서(125)
  • 시험자료(6)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계및실험" 검색결과 101-120 / 1,675건

  • 전감산기 verilog 설계
    전감산기 설계 과정을 통해 조합논리회로를 Verilog 또는 VHDL로 설계하는 방법에 대해 공부한다. ... Schematic 설계 회로를 나타내라.Verilog, VHLD설계1.전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라.시뮬레이션 및 실행 ... 위의 논리식에서 기본 게이트를 이용해 전감산기의 블록도를 그려라.Schematic설계1.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 4비트 CLA 가산기 verilog 설계
    이번 실습에서는 전파 지연이 없는 Carry look ahead 가산기를 설계해 덧셈 결과가 출력되는 지연시간을 리플 가산기와 비교하고, module 및 컴포넌트를 생성한 후 이들을 ... 이용해 구조적으로 모델링하는 설계 방법을 익힌다.실습 내용실습결과Verilog, VHLD설계1. ... Carry look ahead 가산기를 verilog로 설계한 코드CLA_4bit.vtb_CLA_4bit.vmodule CLA_4bit(input [3:0] A,B ,input Cin
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 디지털 회로 실험-논리함수의 간략화
    디지털 회로실험실험4. 논리함수의 간략화1. 목적-드모르간의 정리를 실험적으로 증명한다.-카노프맵에 의한 논리함수의 간략화를 익힌다.2. ... 디지털 논리 회로를 간소화하기 위한 목적은 함수의 최적화, 경제적인 설계, 동작속도를 빠르게, 설계시간의 단축 이다.(1)진리표를 이용하여 카노프 맵을 작성하는 법과 일반적인 규칙1 ... 부울 대수를 통해서 디지털 논리회로를 간소화할 수 있다는 것과 논리식이 간소화되면 설계에 소요될 부품의 수를 줄일 수 있다는 사실을 앞 절에서 살펴보았다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • 디지털 시스템 설계 및 실습 n비트 가감산기 설계 verilog
    1. 실습목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. 일상생활에서는 10진수를 사용하지만 디지털 연산은 2진수를 기반으로 하므로, 디지털 연산에 의한 결과는 10진수로 변환되어야 한다. 이 실습에서는 BCD로 입력되는 두 수를 더한 2..
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 디지털 시스템 설계 및 실습 크기비교기 설계 verilog
    비교기 이론 내용 기술 2bit 비교기를 사용하여 4bit인 두 수 a, b를 입력값으로 주게 되면 이를 2bit씩 쪼개어 두 개의 2bit 비교기 회로에서 비교한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • 전전설2 실험2 예비보고서
    것이고, 단순한 논리회로설계한다면 CPLD를 이용할 것이다.- 일반 FPGA 는 어디로 배선될지 모르지만(로직 활용도에서 우수) CPLD는 가운데에서 양쪽 블록들로 배선되기 때문에 ... 한것을 보아 한 개의 칩에 200개의 논리 게이트를 구현할 수 있을 것 같다.[2-4] 본 실험 장비인 HBE Combo-II SE 는 FPGA 칩의 동작을 실험 할 수 있는 여러 ... 실험 목적Design Tool을 사용하여 Digital logic의 Schematic 설계를 수행해 본다.Schematic 설계는 ISE가 제공하는 여러 가지 종류의 logic gate
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 전전설2 실험1 결과보고서
    실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험설계 능력을 함양한다.- OR 게이트논리 회로 실험- XOR 게이트논리 회로 실험- 반가산기 ... 회로 실험- 전가산기 회로 설계2. ... LED 동작 전압)/LED전류 = 3/0.01 = 300Ω[2-4] 1-bit 반가산기와 전가산기에 대하여 논리 회로도 및 동작을 조사하시오.두 개의 입력 비트(A, B)를 더하여
    리포트 | 8페이지 | 1,000원 | 등록일 2023.11.17
  • 연세대 23-2 기초아날로그실험 A+6주차 예비보고서
    Ⅰ.실험 목표1.1 RC relaxation oscillator를 이해하고 설계- Op-amp 및 passive components(저항, 커패시터)를 사용해 PSPICE 및 breadboard에서 ... Oscillator는 그림1과 같이 필요에 따라 sinusoidal, pulse, sawtooth, triangular waveform들을 만들 수 있어 전자회로, 디지털 논리 회로 ... 전자회로나 장치를 말한다.
    리포트 | 11페이지 | 1,500원 | 등록일 2024.03.23
  • 서울시립대 전전설2 Lab-01 결과리포트 (2020 최신)
    실험목적디지털 설계의 장점과 TTL gate의 특성을 이해하고 OR gate, XOR gate, 가산기 등 여러 논리회로를 디자인한 후 실제로 설계하여 실험능력을 함양한다.2. ... 배경이론 및 사전조사디지털 설계는 다양한 장점이 있다. 1에 대응하는 high값, 0에 대응하는 low값만 중요하기 때문에 전류나 전압값이 정확해야 할 필요가 전혀 없다. ... 디지털 설계가 집적효율적이라는 것을 실험을 통해 다시 확인한 것이다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 실험2. 가산기 예비보고서
    실험방법 및 순서5.1 예비보고에서 설계한대로 7400계열의 NAND 게이트들을 연결하여 반가산기를 구현하라. ... 기초이론2.1 가산기가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다. ... 전자계산기가 발명된 당시에는 진공관에 의해서 구성되었고 현재는 집적 회로설계되어서 다양한 기능을 가지는 것이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • 아날로그 및 디지털회로 설계 실습 실습8_래치와 플립플롭_결과보고서
    1.요약이번 실습에서는 논리 gate(nand, inverter)를 사용해 래치와 플립플롭의 회로설계하였다. ... RS래치의 구현 및 동작 확인실험에서 구성한 RS래치의 회로도는 다음과 같다.게이트에 전원을 인가하고 입력이 모두 0(0V)인 상태에서의 출력은 다음과 같다.모든 입력을 1(5V)로 ... 할 수 있다3.실험결과1.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.08.27
  • [예비보고서] 7.논리함수와 게이트
    설계실습 계획서7-3-1 XNOR 게이트 설계 및 특성 분석(A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR ... 시간 딜레이를 측정할 수 있는 방법에 대해 조사하고, 딜레이를 가장 정확하게 측정할 수 있는 방법의 실험 방법을 설계한다. ... 논리연산을 이용하여 생각하면 출력 X = AB+A’B’이며, 진리표와 게이트를 설계회로도는 다음과 같다.ABX001100010111(B) AND 게이트와 OR 게이트 각각의 입출력
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
  • [디지털공학 실험] 멀티플렉서를 이용한 조합논리
    실험 제목 [논리회로의 간소화]2. ... 실험 목적-멀티플렉서를 이용한, 비교기 및 패리티 발생기 회로의 구성 및 시험-N-입력 멀티플렉서 하나를 이용한, 2N개의 입력을 갖은 진리표의 회로 구현 ... 관련 이론조합 논리회로에서 출력은 단지 입력에 의해서만 결정된다. 간단한 조합 회로에 대해 진리표는 가능한 모든 입력 및 출력을 요약하기 위해 사용된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로설계하는 여러 가지 방법론을 학습한다. ... 실험 장비 및 재료가. 실험 장비HBE Combo-II SE3. ... 단점: ① 현재의 논리 합성은 단상 동기 회로용이다.② 툴류가 비싸다.③ ASIC으로는 논리 합성할 수 없는 회로도 있다.b.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [부산대학교][전기공학과][어드벤처디자인] 8장 Multiplexer, Decoder 및 Encoder (8주차 결과보고서) A+
    A가 0, B가 1일 때 F는 1이다.실험4먼저 회로를 구성하기 위해 설계논리회로 설계는 다음과 같다.여기서 3:8디코더와 OR게이트가 필요하다. ... A,B,C가 0일 때 F는 1이다.A,B가 0이고 C가 1일 떄 F는 1이다.실험2먼저 회로를 구성하기 위해 설계논리회로 설계는 다음과 같다.여기서 8:1 MUX와 NOT게이트가 ... A는 0이고 B,C는 1일 때 F는 1이다.A,B는 0이고 C는 1일 때 F는 1이다.실험5먼저 회로를 구성하기 위해 설계논리회로 설계는 다음과 같다.OR게이트가 필요하다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.25
  • 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함)
    설계 목표 및 요구사항1) 설계 요구사항. -FPGA Kit에서 7 segment 모듈과 Keypad 모듈을 이용하여 Digital Lock을 설계한다. ... 입력이 끝나면 잠금 및 해제를 7 segment 모듈에 표시한다.2) 설계 목표알고리즘 순서0. ... (다른 경우에 비밀번호 재설정을 시도한다면 아무런 반응이 없게 설계하였다.)
    리포트 | 17페이지 | 3,000원 | 등록일 2020.12.17
  • [아날로그 및 디지털 회로 설계실습] 결과보고서(과제)9
    아날로그 및 디지털회로설계 실습(실습9 결과보고서)소속전자전기공학부담당교수수업 시간학번성명설계실습 9. 부울대수 및 조합논리회로 ( 4-bit adder )과제1. ... XOR Gate를 이용한 Full Adder 회로를 Pspice를 사용하여 직접 설계하시오. ... 실험에 사용한 XOR Gate의 Data sheet를 참고하여, 74HC86 XOR Gate의 Vcc와 GND가 몇 번 pin인지 쓰시오.Vcc 는 14번, GND는 7번 pin이다
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.14
  • [A+] 중앙대 아날로그 및 디지털회로 설계실습7 논리함수와 게이트 예비보고서
    아날로그 및 디지털 회로 설계 실습-실습 7 예비보고서-논리함수와 게이트학 과 : 전자전기공학부담당 교수님 : XXX 교수님제출일 : 2020.11.XX(X)조 : X요일 X조학번 ... 두 종류의 딜레이 를 구한 후, 둘의 평균 값을 구하면 전체 시간 딜레이가 된다.7-3-2 NAND 게이트 설계 및 특정 분석(A) Vcc를 5V (논리값 1)에서 0V (논리값 0 ... 및 특정 분석(A) 각 게이트들을 사용하여 만든 4×2 Thermometer to binary 디코더의 기능에 대해 설명하고, 그 정의에 따라 진리표를 만들고, 4×2 회로도를 설계한다.디코더는
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.06
  • 홍익대 디지털논리실험설계 5주차 예비보고서 A+
    디지털 논리실험설계 5주차 예비보고서1. 실험 준비1.1 기본 실험 (2)의 전가산기 [그림 2]는 반가산기 [그림 1] 두 개와 하나의 OR 게이트로 이루어져 있다. ... [그림 2]의 회로가 전가산기로 동작하는 원리를 설명하시오.가산기는 이진수의 덧셈 연산을 수행하는 논리회로이다. ... , (2)의 회로를 구현하시오.전가산기 두 개를 직렬로 연결하면 두 자리 이진수의 덧셈 연산을 할 수 있는 논리회로가 구현될 것이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • 논리회로설계 실험 기본게이트 설계
    논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. 실험 목표CPLD와 FPGA에 대해 알아보고 그의 활용을 알아본다. ... 또한 전기전자 논리회로 교과목의 기초지식과 Xilinx tool을 사용하여 VHDL언어를 통해 기본적인 논리회로인 AND OR 게이트의 논리회로설계하고 진리표를 통하여 각 기본 ... 하드웨어에 대한 지식이 별로 없는 사람이 설계하거나 회로의 문서화를 하는 경우에 좋다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대