• 통큰쿠폰이벤트-통합
  • 통합검색(377)
  • 리포트(347)
  • 시험자료(22)
  • 자기소개서(7)
  • 방송통신대(1)

"시그널맨" 검색결과 101-120 / 377건

  • Chapter 2 Study Guide
    Consumers send signals about what they like and how they like it. ... What’s better for an economy than teaching a man to fish?Hydroponic.
    리포트 | 3페이지 | 3,000원 | 등록일 2015.05.01
  • 인력 중개 O2O 사업 검토
    쿠팡은 ‘쿠팡맨’을 통해 일종의 O2O를 실현하려 했다. 에브리씽 스토어 ‘아마존’을 벤치마킹했다고 하지만 미국과 한국은 맥락이 다르다. ... 정보는 ‘노이즈’를 뺀 신호(시그널)를 말한다. 브로커, 중개업자를 없애버리고 직접 거래를 하게 할 수 있게 했다. 인터넷은 모든 중개(통행세)를 없앨 것으로 생각했다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.03.23
  • 신호와 시스템 과제 #3 Task Ⅱ
    Determine which sampling rate is good for plotting the signal.-> Sampling frequency 가 큰 값을 가질수록 원래 함수의 ... 만약 주기함수인 경우 주기적으로 0을 갖는 값에 대하여 그 주파수를 Sampling 한다면 맨 위의 Plot 그림과 같이 원래의 함수 그래프를 전혀 알아볼 수 없이 모든 함수 값이 ... SSxxTask2.m- 2 -[ 고찰사항 ]맨 처음의 경우는 두 번째와 세 번째 결과와는 달리 sampling한 값이 모두 0이 나와서 원래함수의 모양을 전혀 알아볼 수가 없었다.
    리포트 | 3페이지 | 1,500원 | 등록일 2012.12.10
  • 미국문화와 실용영어 4차 Report
    It depicts positive social relationships by passing a smile to an individual gives him the signal that ... Smile given by a woman to a man shows her attraction towards him but it is also necessary for the man
    리포트 | 2페이지 | 4,000원 | 등록일 2014.09.11
  • 창업사례, 감자살래 나랑살래
    그럼 맨 을 그림으로 그린다 . ... 이 계기로 최 대표는 ‘ 시그널 ’ 이라는 스마트시곗줄을 발명해 냈다 .2. 창업가들의 성공 노하우 1) 구체적으로 계획하면 절반은 성공 !
    리포트 | 30페이지 | 1,000원 | 등록일 2017.12.23 | 수정일 2018.06.08
  • 생리학 실습 nanoinjection, two-electrode-voltage clamp 그리고 LPA receptor
    It also has oter signaling pathways that regulate the affinity and specificity of signal transduction.LPA3LPA3 ... These G proteins carry signals through downstream molecules such as Ras (monomeric GTP-binding protein ... 내 눈에는 구식으로 보이지만 ( 구식 컴퓨터 탓이 큰 것 같다 ) 이 기계는 수억대를 호가하는 물건이었다.박사님이 기계의 원리에 대해 설명하셨는데 의욕은 앞서서 맨앞에 앉아 설명을
    리포트 | 5페이지 | 1,000원 | 등록일 2013.12.25
  • [죽도주인전] 재창작
    영화 나 처럼 미술 팀의 힘을 빌려 특수분장을 마친 거인 역할의 배우가 와이어를 맨 인간을 가볍게 드는 모습 연출. ... .△ 왼쪽 위부터 순서대로 영화 ‘엣지 오브 투모로우’, ‘미스 페레그린과 이상한 아이들의 집’, 드라마 ‘터널’, ‘시그널’, 웹드라마 ‘퐁당퐁당LOVE’, 웹툰 ‘타임인조선’.타임리프라는
    리포트 | 10페이지 | 2,000원 | 등록일 2018.02.28 | 수정일 2024.05.24
  • VHDL을 이용한 산술연산회로설계
    구분하여 알아보면, 맨 앞자리 숫자 S5의 값이 0이냐 1이냐에 따라 우선 구분지을 수 있다. ... Booth ■ 주어진 entity 및 코드를 사용하여 booth multiplier를 설계한다. ■ Reset(rst) 신호를 통해 각 시그널들을 초기화한다. ... Booth ■ 주어진 entity 및 코드를 사용하여 booth multiplier를 설계한다. ■ Reset(rst) 신호를 통해 각 시그널들을 초기화한다. ■ 승수와 피승수를 입력
    리포트 | 25페이지 | 2,000원 | 등록일 2014.06.10 | 수정일 2022.11.07
  • 실험3결과 ADD&SUB
    전가산기는 두 입력과 이전 계산의 올림수를 각각 하나씩 받아 논리합을 S에 출력하고 올림수를 Co에 출력하는 회로이다.3) Half-subtractorbinary signal에 대해 ... 실험 결과1) Half-adderS= bar{A} B+A bar{B}#C=AB2진수 덧셈에서 맨 오른쪽 자리 계산을 위해 사용하는 반가산기 회로이다. 2개의 비트 A와 B를 더해 합 ... 여러 자리의 Binary code중, 맨 오른쪽 자리 하나의 덧셈의 계산을 위해 주로 활용되는데, 두 입력을 받아 논리합을 S에 출력하고 올림수를 C에 출력하는 회로이다.2) Full-adderCarry가
    리포트 | 5페이지 | 3,000원 | 등록일 2014.05.13
  • 외국어영역-수능마무리쪽집게전술
    Innovation requires noticing signals outside the company itself: signals in the community, the environment ... The young man couldn’t believe it. How could he handle this competition? ... of the practical difficulties of observing whale behavichant down the street got nervous about this man
    리포트 | 7페이지 | 1,000원 | 등록일 2012.11.03
  • 오픽 직장인 IH 자료
    And They control traffic signals when It’s rush hour. ... I was just a man.계절- 한국 계절 설명There are four season in South Korea. ... I always do my best for my family so we are a happy family.You know, I’m not an outgoing man.
    시험자료 | 22페이지 | 5,000원 | 등록일 2015.08.07
  • Kate Chopin의 Awakening을 읽고-Feminism을 중심으로
    She has sexual intercourse with Alcee Arobin, while neglecting Leonce’s signal to Edna for having sex ... society intentionally worshiped constructed notion, like ‘true womanhood’, to keep hierarchy between man ... system allowed husbands to have absolute power overentury, there was conceptual contradiction between man
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 통신이론PJ_
    각 부분집합에서 각각에 해당되는 representation point 또는 quantization level이 선택되고 이것이 encoding되어 analog signal을 digital로 ... 또한 SQNR(signal-to-quantization noise ratio)는으로 정의 된다. bit=4이고 그에 따라 level=2^4=16, 점=4000개= 0.6일 때의 squared ... Uniform Quantization에서는 전체 실수 영역이 N개의 영역으로 나누고 맨 끝과 맨 앞의 부분을 제외한 모든 영역들은 같은 길이를 가진다.
    리포트 | 16페이지 | 4,000원 | 등록일 2012.12.01
  • 카이스트 전자공학실험2 실험5 FIR and IIR Filter Design 결과보고서
    이 신호를 sampling 하면 frequency domain에서 sampling rate마다 그 signal이 반복적으로 나타나는 signal이 될 것이다. ... , which is a signed 16bit, little endian, mono data PCM signal sampled by 8kHz.▷ yesplease.wav 파일을 Matlab이나 ... Experiment1) Signal distortions depending on the sampling rate① Listen to the given binary audio signal
    리포트 | 21페이지 | 2,500원 | 등록일 2011.11.06
  • 골프의 이해
    Contents History of golf 3p Golf manner 4p Color about golf – Teeing ground 5p Color about golf – Fairway signal ... Footnote 17pHistory of golfGolf mannerColor about golf – Teeing Ground or orColor about golf – Fairway signal ... At that time, non-standard man is drop out.
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.22
  • c언어를 사용한 고급 응용 기술
    함수 원형 void (*signal( int sig, void (* func )( int )))( int ); signal(sig, func ) 함수 호출은 신호 sig 와 신호 처리기 ... 프로그래머는 signal( ) 함수를 통하여 시스템이 수행하는 디폴트 동작을 대체할 신호 처리기를 지정할 수 있다 . ... 신호가 발생하면 , 프로그램 제어는 신호 처리기로 넘어간다 . 12.4 신호12.4 신호 #include stdio.h #include signal.h #include stdlib.h
    리포트 | 16페이지 | 1,500원 | 등록일 2011.09.23
  • 배구
    Men's Christian Association) 선수권 대회를 통해 공인대회로서 개최되었다.1946년에는 14개국(프랑스, 소련, 폴란드, 유고슬라비아, 체코 등)이 참가한 국제배구연맨( ... 소기(30x30cm)를 사용하여 신호하여 신호하므로 자기 임무를 수행한다.서버가 서비스 시 라인을 감시하며 반칙시 시그널 한다.주심의 요청 시 신호를 되풀이 한다.경기 방법경기는 ... 위치하여 경기에 특정, 기타 공식기록을 작성하는 임무를 수행한다.선심선심은 엔드라인 및 사이드라인을 정확히 관찰할 수 있는 각각 코트의 네 코너에 위치하며 아웃, 인, 터치아웃을 시그널한다.선심은
    리포트 | 7페이지 | 무료 | 등록일 2014.08.03 | 수정일 2016.05.24
  • 서평 세상에서 가장 쉬운 선물 옵션 이야기
    '모랄 맨, 임모랄 서사이티'라는 ‘니버’의 말이 있다. 도덕적인 인간, 비도적인 사회라는 뜻이다. ... 어디로 갈 것이냐를 보고 투자를 결정하는 것이 맞을 것이다. 58쪽이다.개인적으로 외국인이 선물 매수 2조, 현물 매도 7천억의 시그널을 어떻게 이해해야 하는가 해서 이 책을 잡았다
    리포트 | 3페이지 | 1,000원 | 등록일 2015.08.26 | 수정일 2017.04.28
  • 시스템으로서의 LCD모니터
    Digital Input signal이 Graphic card로 전송되고 Graphic card에서 디지털 신호가 아날로그 R, G, B 신호로 변경되어 Analog Interface를 ... 핀 마다 R,G,B signal, H-sync, V-sync, power 등 핀 마다 각각의 역할이 할당 되어있다.아날로그 인터페이스는 디지털 디스플레이가 일반화 되면서 매우 비효율적인 ... 따라서 DVI를 지원하려면 TMDS Link방식의 TMDS Transmitter와 TMDS receiver라는 시그널 변환 칩셋이 필요하다.
    리포트 | 15페이지 | 2,000원 | 등록일 2010.08.17
  • 심전도 총정리[A+]
    실제 signal과 noiseⅢ. 부정맥 (arrhythmia)1. ... 실제 signal과 noise; 접지를 얼마나 잘 했는가, 다른 잘못된 신호가 있는가 알아보기예)? 몸의 전기적 signal은 마찰로 올 수 있음 =======> 옷을 벗김? ... 약물이나 전해질의 심장의 전기적 활동체계에 주는 효과를 판단(1) 사지유도(limb lead)와 흉부유도(precordoal or chest lead)Einthoven이 맨 처음 우측팔과
    리포트 | 13페이지 | 2,000원 | 등록일 2013.05.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대