• 통큰쿠폰이벤트-통합
  • 통합검색(377)
  • 리포트(347)
  • 시험자료(22)
  • 자기소개서(7)
  • 방송통신대(1)

"시그널맨" 검색결과 141-160 / 377건

  • OFDM
    be sn , then the complex baseband model of the passband signal yn is Then the received complex baseband ... signal rn is ③ Joint Symbol and Frequency Synchronization  Non-data-aided Method (Exploiting second-order ... Non-data-aided Method (Via oversampling)  Data-aided Method (Special training-symbol-block) Let the transmitted signal
    리포트 | 37페이지 | 2,000원 | 등록일 2011.09.16
  • [기호학][광고 기호학][광고 기호학 종류][광고 기호학 구조적 커뮤니케이션][광고 기호학 평가]기호학의 의의, 광고 기호학의 의의, 광고 기호학의 종류, 광고 기호학의 구조적 커뮤니케이션, 광고 기호학의 평가
    시그널(signal), 증상(symptom), 도상(icon), 지표(index), 상징(symbol), 이름 (name) 순서대로 우리는 기호를 구성하는 기표(signifier)와 ... 이라는 암시적 기의가 되어 맨솔 담배의 상징을 구성 하게 된다. ... 신호(signal)2. 증상(symptom)3. 도상(icon)4. 지표(index)5. 상징(symbol)6. 이름(name)Ⅴ. 광고 기호학의 구조적 커뮤니케이션1.
    리포트 | 10페이지 | 5,000원 | 등록일 2009.09.08
  • 학급운영에 있어서 문제행동지도 개입기술
    개입관리개입의 위계1단계 비언어적 개입교사의 성공적 개입1) 계획된 무시하기(planned ignoring)무시된 행동은 감소하고 결국에는 사라질 것이라는 강화이론에 기초2) 신호 간섭(signal ... 시그널 뮤직을 쓰는 것. 6. 1차 엘로우 카드(손가락으로 V자 표시) 또 떠들면 레드 카드로 수행평가 1점 감점하는 방법 7. ... 1992); Petty(2001)학생 통제 학생 자율력 향상행동 경영적 관점Burden(2000); Kely Rogien(2004); Larrie(1999);Lemlech(1999); Manning
    리포트 | 31페이지 | 5,000원 | 등록일 2011.06.18
  • English 중요 숙어.단어 총정리
    방향 지시등right-turn signal 오른쪽 깜박이left-turn signal 왼쪽 깜박이Work애프터 서비스(after service) after-sales servicecf ... (mission) transmission(변속기)미션오일(mission-oil) transmission oilopen car convertible car*자동차 관련 용어turn signal ... shift 자동차의 수동 변속기seat belt 안전벨트license plate 번호 판hood 엔진뚜껑 (bonnet는 영국 영어)trunk 트렁크(boot는 영국 영어)turn signal
    리포트 | 8페이지 | 1,000원 | 등록일 2010.04.07
  • 텍스트 콘텐츠 비즈니스
    총 5시간 30분이 맨아워(man hour)다. 2014년 최저임금은 한 시간에 5210원이다. 5시간을 소요했다면 26,050원의 매출은 일어나야 한다. 아주 힘든 레벨이다. ... 그들이 인터넷에 쓰레기 정보가 많다는 것을 알고 있다는 것도 환경의 강점 되겠다.작금의 개인주의로 인해 회사에 사수가 없다는 것도 좋은 시그널이다. ... 영화 비평은 관람 2시간 발췌와 연구에 1시간, 집필 1시간을 써서 총 4시간을 맨아워로 계산한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2014.02.04
  • 모니터 신호 분석 실험 보고서
    따라서 DVI를 지원하려면 TMDS Link방식의 TMDS Transmitter와 TMDS receiver라는 시그널 변환 칩셋이 필요하다. ... 모니터 신호 분석실험목적VGA/DVI 영상 신호를 Oscilloscope로 측정하여 LCD모니터와 사용자 사이를 연결해주는 Man-to-Machine Interface와 컴퓨터와 모니터 ... 이 실험에서 모니터와 사용자사이의 인터페이스를 바로 Keypad입력을 하면 화면에 OSD를 띄우고 사용자가 원하는 설정을 도와주는 Man-to-Machine Interface의 전기적
    리포트 | 4페이지 | 1,000원 | 등록일 2014.02.04
  • Effective ways to communicate in the group
    For example, if a person crosses his arms it could signal that he is on the defensive side of the argument ... Instead, you need, to put it in Shakespeare's words, "Give every man thine ear," gathering the information
    리포트 | 2페이지 | 2,000원 | 등록일 2011.10.15 | 수정일 2014.07.29
  • signalman
    => 우선 signalman의 의식과 무의식을 이해하기 위해서는 signalman이 말하는 유령의 존재가 무엇을 의미하느냐를 파악해야한다. ... 이렇듯 소설에서는 signalman의 주위환경요소를 어둡고 침울하게 묘사하여 signalman이 사회적으로 고립된 소외계층임을 예측할 수 있게 한다.3. ... 우선 signalman이 처해있는 상황을 보자.젊었을때는 꿈을 키우는 학생이었지만 한때의 실수로 방탕한 생활을 하다가 지금은 signalman이 되었다.
    리포트 | 2페이지 | 1,000원 | 등록일 2008.12.14
  • Ch1_Intro_313850
    (they don't signal about their friendship, the long winter, hardships, ...)Animals' communication: simple ... high-pitched or low-pitched=> messages are limited and stimulus-controlledBees: somewhat complex communication signals ... language, we are approaching "human essence", the distinctive qualities of mind that are unique to man
    리포트 | 8페이지 | 1,000원 | 등록일 2011.04.22
  • 운영체제(공룡책)8판 연습문제 풀이 -6장
    =만약 signal 수행이 맨 마지막 줄로 온다면 lock이 신호를 보내는(signalling) process에서 그 신호를 받아야 하는 입장의 process로 옮겨질 수 있다. ... spinlock의 시간보다 크기 때문에(일반적으로 lock이 짧은 시간 동안만 소유될 것이라고 예상할 때 사용하므로) spinlock이 적합하다고 할 수 있다.6.35 Suppose the signal ... 그렇지 않으면 신호를 보내는(signalling) process는 반드시 잡고 있던 lock을 놓아야만(release) 할 것이고 수행을 하기 위해서 lock을 잡아야 하는 모든 다른
    리포트 | 1페이지 | 1,000원 | 등록일 2009.08.25
  • topics for in depth reading book 3 5과 Why spy해석본
    The two never met face-to-face, but they left signals foreach other-a strip of tape on a sign to show ... They wrote notes, and the local man signed his with a code name: "B" or"Ramon Garcia." ... It is a good place to be alone-or to hide something.One local man particularly appreciated these quiet
    시험자료 | 5페이지 | 1,500원 | 등록일 2009.12.13
  • 허클베리핀 12장
    Ch.12 ThemesChapter 12 signals a separation from Huck and Jim’s familiar surroundings as the two begin ... .12 Summary 3-4Certain that the wreck will come loose and sink, the two men decide to leave the tied man
    리포트 | 12페이지 | 600원 | 등록일 2012.05.24
  • 시크릿
    실제의 일을 ‘맨눈’으로 볼 수 있어야 인생에서 승리할 수 있다는 말도 일정부분 사실이다. ... 감사하다는 시그널을 보내면 우주는 보답하는 법이다.범사에 감사하라는 것은 성경말씀만은 아니다. 가능한 한 모든 것을 그리고 가능한 모든 사람을 사랑하라. ... 재활전문 물리치료사 출신의 개그맨인 그는 ‘감사합니다를 너무 많이 외쳐서 감사한 일이 생긴 것 같다’는 소감을 밝혔다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.05.06
  • [영어의미론] Ambiguity 예시문 (각 문장 출처 표기)
    curved metal tube that you blow into 2. a loud sound that is made by a vehicle to make a warning or signal ... man And so is Lola. ... .- I'm glad I'm a man and Lola is glad he's a man.- I'm glad Lola and I are both men.② I promise you
    리포트 | 3페이지 | 1,000원 | 등록일 2011.01.20
  • 실험보고서5- western blot`
    진한 signal일수록 AP를 많이 얻은 elute이다. ... 단지 맨손으로 만지면 안 된다. ... *fiber pad의 역할 : 보호⑤ ④의 완성된 카세트를 electrotransfer tank에 꽂고, 맨 위까지 잠길 때 까지buffer를 채운다.
    리포트 | 7페이지 | 2,000원 | 등록일 2012.06.23
  • [디스플레이 시스템 실험] Interfaces man-machine . machine-machine interface
    각 Pin에 할당된 데이터들을 살펴보면 T.M.D.S data 시그널은 R, G, B data와 H,V sync및 이들 데이터를 전송하는데 관련된 시그널로 구성되며, ddc clock ... Interfaces: man-machine & machine-machine interfaceAbstract본 실험을 통해 LCD Monitor 구조에서 Man-Machine & Machine-Machine ... 이중 Man Machine 인터페이스를 잠시 조명 해 보자면, 먼저 Man Machine System이란 어떠한 환경 속에서 인간과 기계가 특정한 목적을 수행하기 위하여 결합된 집합체를
    리포트 | 37페이지 | 5,000원 | 등록일 2011.08.10
  • VHDL-Pre lab - Mbit 가산기와비교기 !! (A+리포트 보장)
    그러면 adder 안에서 연산을 수행하고 output signal로 c_out과 sum이 나오게 된다. ... 좀 까다로운 부분이 있다면 signal을 설정해 주어서 input값 3개 output값 2개를 동시에 집어 넣어주는 것이다. ... 그렇다면 우선 Fulladder에 대한 간단한 덧셈 방식을 살펴 보도록 한다.input signal은 x,y 그리고 c_in 이 들어 가게 된다.
    리포트 | 17페이지 | 2,500원 | 등록일 2009.06.29
  • 배구에대해서
    A 선수권대회가 공인대회로 맨 처음 개최되어 성황을 이루었고, 1946년 프랑스 파리를 주축으로 소련, 폴란드, 유고슬라비아, 체코 등 14개국이 참가한 국제배구연맹(Internationl ... 또한 경기 중 휘슬은 주심과 부심만 불 수 있으며, 주심과 부심은 반칙을 범한 것을 확인하고 반칙의 종류를 판정할 수 있을 때 랠리종료시그널을 한다. ... 또한 경기중단은 감독 또는 경기주장에 의해요구할 수 있으며, 볼이 아웃오브 플레이 되었을 때 또는 서비스를 위한 휘슬 전에 공식적인 핸드시그널로 요구해야 한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2013.05.28
  • AM 송/수신단 설계 (매트랩이용), (VSB방식)
    *c; % modulated signalM1=fft(m); % message signal의 Fourier TransformU1=fft(u); % modulrated signal의 Fourier ... (Hz)')title('modulrated signal의 FT') % modulrated signal의 Fourier Transform 출력파형%%%%%% BPF (Band Pass ... signal의 FT') % message signal의 Fourier Transform 출력파형figure(2);plot(f,abs(fftshift(U1)))xlabel('Frequency
    리포트 | 13페이지 | 5,000원 | 등록일 2008.12.25
  • VHDL을 이용한 다양한 플립플롭 및 카운터설계, 실습
    그전 상태의 반전을 출력하는것을 시뮬레이션을 통해 확인 할 수있다.(5) 4비트-Ripple Counter-Schematic-signal cnt 선언은 내부에서 카운터를 돌리기 위한 ... 맨처음 F.F.에 CK이 입력이되고 다음 F.F.부터는 출력을 CK에 단자에 입력하는 모습을 볼 수있다.(2-3) Ripple Counter비동기 카운터의 출력상태 변화는 전단의 F.F.에서
    리포트 | 22페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:23 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대