• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(458)
  • 리포트(409)
  • 논문(22)
  • 자기소개서(9)
  • 방송통신대(9)
  • 시험자료(5)
  • 이력서(2)
  • 서식(1)
  • ppt테마(1)

"Simulator Program" 검색결과 101-120 / 458건

  • 시립대 전전설2 [4주차 예비] 레포트
    Simulation 실행 후 원하는 값 출력8. Generate Programming File 실행9. 기기와 연결 후 이니셜라이즈후 코딩10. ... Simulation 실행 후 원하는 값 출력8. Generate Programming File 실행9. 기기와 연결 후 이니셜라이즈후 코딩10. 동작 확인3.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 서울시립대 전자전기컴퓨터설계실험2 제04주 Lab03 Post
    Behavioral Simulation과의 차이점은 Timing Simulation은 hardware 한다는 점이다. ... 해야 하지만 Gate Primitive Programming을 이용하면 program에서 자동으로 최적화를 진행하여 coder 입장에서 더 편리하다. ... 벡터를 이용하여 programming을 할 경우, Gate Primitive Programming보다 Behavioral Modeling이 간결한 경우가 많을 것으로 예상된다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 7-segment 동작구현
    블록 다이어그램 & Programer 진행6. Simulation
    리포트 | 5페이지 | 1,500원 | 등록일 2012.03.28
  • 시립대 전전설2 [9주차 결과] 레포트
    조건에 맞도록 파일 수정 -> Simulation -> Generate Programming File 실행 IMPACT 실행 -> 동작 확인2. ... -> Source 작성 -> Synthesize-XST, Implement Design Compile -> 핀 설정 -> Implement Design 다시 Compile -> Simulation에서
    리포트 | 11페이지 | 2,000원 | 등록일 2019.07.29
  • 시립대 전전설2 [8주차 결과] 레포트
    조건에 맞도록 파일 수정 -> Simulation -> Generate Programming File 실행 IMPACT 실행 -> 동작 확인2. ... -> Source 작성 -> Synthesize-XST, Implement Design Compile -> 핀 설정 -> Implement Design 다시 Compile -> Simulation에서
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    위와 같이 programming할 경우, 3옥타브의 ‘도’음이 출력될 것이다.Pin Assignment CodeReset switch를 변수 rstn으로 할당한다.1MHz clock을 ... Simulation Result를 확인하면, 4비트 BCD의 값에 따라 할당된 7비트의 a~g의 값이 output으로 나오는 것을 확인할 수 있다. ... ResultBehavioral Simulation Result를 확인하면, clk이 high가 될 때마다 seg_com과 seg_data가 4주기로 바뀌는 것을 확인할 수 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Post
    이용하여 4-bit Full Adder Subtractor, 1-bit Comparator, 4-bit Comparator, 4-bit Comparator를 이용한 연산 모듈을 programming하여 ... experiment교안에는 1-bit Comparator를 이용하여 4-bit Comparator를 설계하라고 쓰여 있으나, 실제로 1-bit Comparator를 이용하는 것보다 이용하지 않고 Programming ... Timing Simulation의 결과에서도 C_in이 0일 경우 Adder로써, C_in이 1일 경우 Subtractor로써 작동하는 것을 볼 수 있다.1-bit Comparator
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    Introduction using Verilog Design, which is available on the DE2-Series System CD and in the University Program ... ) Test the functionality of your design by toggling the switches and observing the displays.⑶ Code⑷ Simulation ... chip.5) Test your circuit by trying all possible values of V and observing the output displays.⑶ Code⑷ Simulation
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • 기초전자회로실험1 03. PSpice를 이용한 회로 시뮬레이션 예비
    PSpice는 Spice(Simulation Program with Integrated Circuit Emphasis) 프로그램을 개량한 것으로, Professional Spice를 ... 전자회로는 시뮬레이션(Simulation)으로 동작을 검증하고, 시제품을 제작하는 등의 단계를 거치면서 설계가 이루어진다.
    리포트 | 2페이지 | 1,000원 | 등록일 2017.06.28
  • 컴시 4
    Computer Simulation 보고서 # 4제목문제 풀이학과전자공학과학번성명제출일2011. 9. 28확인P1. ... Write a program that generates three random numbers, each between 0 and 9. ... Write a program to find the first odd integer whose cube is greaterthan 3000.a=1;while(1)if (a^3)3000fprintf
    리포트 | 2페이지 | 1,000원 | 등록일 2012.08.25
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Pre
    Decoder, Encoder and Mux실험 날짜2016. 10. 17학번이름Professor조교실험 소개실험 목적Decoder, Encoder, Mux의 Verilog 설계를 통해 Programming ... Simulation 조건에 맞게 Source Code를 수정해준다.Modified Test Bench Code3 * 8 Decoder Simulation ResultBehavioral ... ResultBehavioral Simulation Result를 확인하면, S = 0일 경우, Output Z = I[0]임을 알 수 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • Lab#02 [HBE-ComboⅡ-SE] board [Xilinx Spartan3] FPGA chip [ISE] digital design tool
    (iMPACT)Synthesize, Implement Design, Generate Programming File을 실행한 후 IMPACT을 실행한다.File > Initiallize ... 고려없이 설계한 Design File의 기능만으로 검정하는 시뮬레이션으로, 결과파형에 Delay time의 요소가 들어가 있지 않다.Isim Simulator > Simulate ... >Simulate Post-place&Route Model을 설정한다.Behavioral Simulation처럼 Run time을 설정한다.결과값을 확인한다.Configure Device
    리포트 | 18페이지 | 1,500원 | 등록일 2016.09.11
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06_Pre
    . 10.24학번이름Professor조교IntroduceObjectUnderstand Combination Logic Circuit containing Flip-flop, and program ... Simulation Result를 확인하면, clk1 or clk2가 발생할 때마다 output a or b가 변하는 것을 볼 수 있다. ... ResultBehavioral Simulation Result를 확인하면, clrn이 0일 경우 Output = 0으로 초기화됨을 알 수 있다. clrn, clk 모두 1일 경우
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07-Pre
    Design, FSM and Clocked Counter실험 날짜2016. 10.31학번이름Professor조교IntroduceObjectUnderstand, learn, and do programming ... ResultBehavioral Simulation Result를 확인하면, reset switch를 누르면 state는 0으로 초기화됨을 확인할 수 있다. ... Simulation Result를 확인하면, reset switch를 누르면 state는 0으로 초기화됨을 확인할 수 있다.Input X가 1이 됨에 따라 다음 state로 순차적으로
    리포트 | 7페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06-Post
    따라서 clock impulse를 나타내는 enable 변수와 flag 변수를 같이 쓰는 것보다 flag 역할을 하는 변수를 하나만 사용하여 programming 하는 것이 코드 간소화 ... 확인할 수 있었다.ConclusionVerilog HDL을 이용하여 4-bit Shift Register, 4-bit Shift Register & One Shot Enable을 programming하여 ... Timing Simulation의 결과에서도 clk의 positive edge가 검출될 때마다 input d의 value가 output의 최상위bit에 입력되며, 나머지 output
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습1 [결과레포트]
    옵션 선택 후 Default 설정칩 모양을 마우스 우클릭 후 Program 선택장비에서 동작 확인2. ... File : 프로그램 파일 생성, 프로그램[IMPACT]ISE SimulatorBehavioral Simulation디바이스 고려 없이 설계한 Design File의 기능만으로 검증하는 ... 시뮬레이션결과 파형에 delay time의 요소가 없다.Timing simulation위의 Behavioral Simulation의 결과에 하드웨어적인 요소가 반영된 시뮬레이션Target
    리포트 | 25페이지 | 1,000원 | 등록일 2017.10.19
  • [생산관리] 공정관리의 의의와 목표 및 생산형태
    이러한 애로를 해결하기 위해 우리는 신공정관리수법인 PERT(Program Evaluation and Review Technique) 및 CPM(Critical path Method ... 시간적 손실을 감소시켜야 하며 아울러 조업도를 높여야 할 것이다.여기에 대기행렬이론 (Queuing theory), 순열배열이론(Sequencing theory) 및 시뮬레이션(Simulation
    리포트 | 4페이지 | 1,000원 | 등록일 2019.03.06
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Post
    * 1 Mux, 4-bit 4 * 1 Mux, BCD to Excess-3 Code Convertor, Binary to BCD & Excess-3 Code Convertor를 programming하여 ... Timing Simulation의 결과에서도 Output = Input + 를 내보내는 것을 확인할 수 있다. ... Timing Simulation의 결과에서도 Input Select에 따라 Input A, B중 하나를 선택하여 Output으로 내보내는 것을 확인할 수 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    검증하는 시뮬레이션- 결과 파형에 delay time의 요소가 없다.Timing Simulation- 위의 Behavioral Simulation의 결과에 하드웨어적인 요소가 반영된 ... File : 프로그램 파일 생성, 프로그램(iMPACT)Isim SimulatorBehavioral Simulation- 디바이스 고려 없이 설계한 Design File의 기능만으로 ... 제대로 동작했다면 Program Succeeded가 나타난다.장비에서 동작을 확인하라.Materials (Equipment’s, Devices) of this Lab : 컴퓨터,
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습 [예비레포트]
    옵션 선택 후 Default 설정칩 모양을 마우스 우클릭 후 Program 선택장비에서 동작 확인2. ... File : 프로그램 파일 생성, 프로그램[IMPACT]ISE SimulatorBehavioral Simulation디바이스 고려 없이 설계한 Design File의 기능만으로 검증하는 ... 시뮬레이션결과 파형에 delay time의 요소가 없다.Timing simulation위의 Behavioral Simulation의 결과에 하드웨어적인 요소가 반영된 시뮬레이션Target
    리포트 | 27페이지 | 1,000원 | 등록일 2017.10.19
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대