• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(458)
  • 리포트(409)
  • 논문(22)
  • 자기소개서(9)
  • 방송통신대(9)
  • 시험자료(5)
  • 이력서(2)
  • 서식(1)
  • ppt테마(1)

"Simulator Program" 검색결과 161-180 / 458건

  • 전전컴설계실험2-11주차 결과
    Generate Programming File 을 수행하여 bit 파일을 생성한다.6. Simulation을 통해 실험 예상값을 확인한다..7. ... Text LCD ate Programming File 을 수행하여 bit 파일을 생성한다.6. Simulation을 통해 실험 예상값을 확인한다..7. ... Test Bench 파일에 입력 변수의 조건을 설정해주고, Simulation Runtime조정 뒤에 Test Bench 파일에 대한 시뮬레이션을 시작한다.9.
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • Application-Design-Ⅰ-7-segment and Piezo-Control
    위해 implementation으로 돌아와 Generate Programming File 을 실행한다. ... 그 후 기본으로 작성된 Text Fixture 파일을 Simulation 조건에 맞도록 수정한다.ISE 시뮬레이션 프로그램인 Isim을 이용하여 시뮬레이션 진행한다.프로그래밍을 하기 ... 버튼을 눌러 장치로 확인한다.Inlab 2. counter with Piezo다른 것들은 위의 과정과 같이 실행한다.Source와 핀 설정은 주어진 회로에 맞게 수정하여 작성한다.Simulation에서
    리포트 | 28페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 실험2 제11주 Lab09 Pre TEXT LCD
    .⑤ 실제로 장비를 구동시켜본 후, Prelab 결과인 Functional Simulation & Timing Simulation과 일치하는지 확인한다.3. ... .⑤ 실제로 장비를 구동시켜본 후, Prelab 결과인 Functional Simulation & Timing Simulation과 일치하는지 확인한다.2) Procedure of ... 동작을 반복한다.④ 각 Element에 알맞은 Pin number를 설정해주고 Configure Device(iMPACT)를 통해 FPGA에TEXT LCD를 Download하여 Programming한다
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 전전컴설계실험2-11주차 예비
    Generate Programming File 을 수행하여 bit 파일을 생성한다.6. Simulation을 통해 실험 예상값을 확인한다..7. ... Generate Programming File 을 수행하여 bit 파일을 생성한다.6. Simulation을 통해 실험 예상값을 확인한다..7. ... Test Bench 파일에 입력 변수의 조건을 설정해주고, Simulation Runtime조정 뒤에 Test Bench 파일에 대한 시뮬레이션을 시작한다.9.
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 시립대 전자전기컴퓨터설계실험1 5주차 결과레포트
    Program with Integrated Circuit Emphasis - MicroSim사)를 소개하면서 PC에서 회로 해석이 가능하게 되었다. ... Program with Integrated Circuit Emphasis)는 트랜지스터의 동작점, 과도 특성 해석 및 주파수 응답 해석 등의 전기, 전자회로에 대한 복잡하고 다양한 ... 회로에 대한 시뮬레이션을 손쉽게 수행할 수 있게 되었다.SPICE는 워크스테이션 이상의 중, 대형 컴퓨터에서만 사용가능 하였으나, 1984년 PSpice(Professional Simulation
    리포트 | 18페이지 | 2,000원 | 등록일 2016.03.06
  • 확률과 랜덤변수 Project
    Simulation result & analysis5. ... We use recursion formula in analytic calculation, and use C program in coding.2. ... 0.3327tossing a coin 1 : P(n=1000) = 0.312, P(n=10000) = 0.3321So, the probability of choosing Coin 0 in Simulation
    리포트 | 9페이지 | 2,000원 | 등록일 2016.10.23
  • 전전컴실험Ⅱ 06반 제04주 Lab#03 [Verilog HDL] 예비보고서
    3E board : 1 ea(3) JTAG programming USB cable다. ... Materials(Equipments, Devices) of this Lab(1) Computer & Xilinx ISE program : 1 ea(2) Xilinx Spartan- ... 실제로 장비를 구동시켜본 후, 미리 해봤던 Functional Simulation & Timing Simulation의 결과와 일치하는지 확인한다.나.
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 실험2 제12주 Lab10 Pre TEXT LCD With Four Direction
    .⑥ 실제로 장비를 구동시켜본 후, Prelab 결과인 Functional Simulation & Timing Simulation과 일치하는지 확인한다.3. ... Logic을 설계한다.⑤ 각 Element에 알맞은 Pin number를 설정해주고 Configure Device(iMPACT)를 통해 FPGA에TEXT LCD를 Download하여 Programming한다 ... 실험 Code를 Simulation 돌렸을 때는 정확하게 작동이 안되었다. 이번 실험은 Combo 2 SE 기계로 실험을 해봐가며 실험을 해야할 것 같다.5.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.14
  • 공정모사 예비보고서
    실험 목적두 가지 성분이 섞인 혼합물을 분리하는 증류탑을 UniSim simulation program을 사용하여 설계하는 방법을 배운다. ... 실험 제목공정 모사를 통한 증류탑 설계 (Process Simulation ? Distillation Tower Design)2. ... McCabe-Thiele Method를 사용하여 이상단수를 구하고, Simulation 결과 값과 서로 비교해본다.3.
    리포트 | 5페이지 | 1,500원 | 등록일 2016.09.25
  • 영문 자소서, 경력, 연구개발
    So I have a good computer skill:Simulation, Program Language (C++, Java Script), Microsoft office, UnixThank ... RS etc)TFR Evaluation (TEM, RS Trend, TCR, VCR etc)Package Level Reliability (EM, TC)OTP (One Time Program ... Besides, I have experience of GOI (Gate Oxide Integrity) evaluation, Yield Analysis, Process Simulation
    리포트 | 4페이지 | 2,500원 | 등록일 2013.01.31
  • 글로벌 기업의 인적자원관리.개발 사례
    Management Training Prog ram) CCS(Civil Communication Secti on) 의 경영 강좌 ATP( Administrati e Training Program ... 사회 , 문화 , 과학에 대한 기초 이론 , 현상파악 , 근대적 경영 관리 기술의 이해 등을 추진 국내외 경제환경에 대응하는 경 쟁 력 제고 방안 규명 모의 연습 (Business Simulation
    리포트 | 49페이지 | 2,500원 | 등록일 2018.08.11
  • 전전컴실험Ⅱ 06반 제02주 Lab#01 TTL gates Lab on Breadboard-Pre
    Reference (참고문헌) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 4< 초록 (Abstract) >이번 실험은 ISE Project Navigator program과 HBE-COMBO II ... Materials(Equipments, Devices) of this Lab(1) Computer & Xilinx ISE program : 1 ea(2) Xilinx Spartan- ... PreLab 1. - Simulation Results of Lab 1.(1) Expected/Simulation Data of XOR GATE왼쪽 위부터(2) Description
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2014.03.17
  • 전전컴설계실험2-12주차 예비
    Generate Programming File 을 수행하여 bit 파일을 생성한다.6. Simulation을 통해 실험 예상값을 확인한다..7. ... Test Bench 파일에 입력 변수의 조건을 설정해주고, Simulation Runtime조정 뒤에 Test Bench 파일에 대한 시뮬레이션을 시작한다.9. ... ,JTAG programming USB cable(3)Matters that require attentions실험2에서 Text LCD (학번,이름) with Shift를 설계 할
    리포트 | 13페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴실험Ⅱ 06반 제04주 Lab#03 [Verilog HDL] 결과보고서
    3E board : 1 ea(3) JTAG programming USB cable다. ... 실제로 장비를 구동시켜본 후, 미리 해봤던 Functional Simulation & Timing Simulation의 결과와 일치하는지 확인한다.(2) Procedure of the ... 실제로 장비를 구동시켜본 후, 미리 해봤던 Functional Simulation & Timing Simulation의 결과와 일치하는지 확인한다.(3) Procedure of the
    리포트 | 15페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 공정모사 결과보고서
    실험 목적두 가지 성분이 섞인 혼합물을 분리하는 증류탑을 UniSim simulation program을 사용하여 설계하는 방법을 배운다. ... 실험 제목공정 모사를 통한 증류탑 설계 (Process Simulation ? Distillation Tower Design)2. ... McCabe-Thiele Method를 사용하여 이상단수를 구하고, Simulation 결과 값과 서로 비교해본다.3.
    리포트 | 10페이지 | 2,500원 | 등록일 2016.09.25
  • 전자전기컴퓨터설계실험1 5주차 Prelab PSPICE
    Introduction1) Purpose of this LabPspice(Professional Simulation program with Integrated Circuit Emphasis ... AC SweepVAC인 경우, 설정된 V(t)의 Frequency를 단계적으로 증가시키면서 Simulation하는 것이다. ... 또 Simulation 과정에서 Transient를 이용하지 않아 주기에 따른 변화를 보지 못하여 파형 관측에 어려움을 느낄 수도 있다.
    리포트 | 12페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • CRM & LOFT
    Factor 의 인식 , 평가 포함 훈련 대상을 운항승무원 뿐만 아니라 객실승무원 , 운항관리사 , 정비담당자까지 확대4 세대 CRM AQP(Advanced Qualification Program ... Recurrent LOFT 모든 crew 멤버가 해당 기종 , 임무위치에 대한 자격 유지 가능하도록 설계 Qualification LOFT 자격획득을 하기 위해 훈련받는 승무원 대상 Simulator
    리포트 | 16페이지 | 1,000원 | 등록일 2016.02.19 | 수정일 2016.02.21
  • 제너레이트 메트릭스를 이용한 Liear Block coding
    Simulate the coded BER of (7,4) linear block code in the Eb/No range from 3 to 10 dB by increasing 1 ... Add the program for decoding. ... Program to display 32 codewords corresponding to the messages 0 to 31 in integer.
    리포트 | 11페이지 | 2,500원 | 등록일 2010.10.25
  • 기말추가-생산관리
    진행되는지를 파악, 자원재배정 등을 통해 조정이에 자원배정계획을 수칙적으로 설명해보자.소요시간과 소요인원수가 고정된 상태에서 적정 인원배정을 위한 일정계획이다.Monte Carlo Simulation은 ... 따라서 계획내용은 이벤트, 엑티비티 및 시간에 의해서 그림과 같은 네트워크 모양으로 표시된다.PERT(Program Evaluation and Review Technique)는 계획의 ... PERT/CPM의 정의 및 목적불확실한 프로젝트의 일정,비용등을 합리적으로 계획하고 관리하는 기법으로PERT(Program Evaluation and Review Technique)
    리포트 | 9페이지 | 2,500원 | 등록일 2015.10.13
  • PSpice simualtion 예비 보고서
    전자회로의 시뮬레이션에 이용되는 프로그램으로 가장 많이 사용되는 것이 Spice(Simulation Program with IntegratedCircuit Emphasis)이다. 1972년 ... 실험장비 및 부품OrCAD PSpice program3. 실험 목적OrCAD PSpice를 이용하여 회로의 설계 방법을 익힌다.4.
    리포트 | 6페이지 | 1,000원 | 등록일 2013.05.12
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:10 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대