• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(458)
  • 리포트(409)
  • 논문(22)
  • 자기소개서(9)
  • 방송통신대(9)
  • 시험자료(5)
  • 이력서(2)
  • 서식(1)
  • ppt테마(1)

"Simulator Program" 검색결과 201-220 / 458건

  • 단체급식운영계획서 (단체급식운영안, 메뉴운영 및 식자재 구매 계획, 위생/안전 관리 계획, 인력 및 서비스 운영 계획, 고객만족도 제고 계획, 급식 단가 계획)
    -잔반잔식관리-고객선호도분석(메뉴ABC분석)-메뉴소개 및 메뉴모양을사진으로 보여줌.메뉴구성/운영선호메뉴 분석정보 활용발 주-메뉴제공시 색깔의 중복확인-메뉴 SIMULATION(식재, ... 을 통하여 고객이 선호하는 메뉴 제공식수예측 program 활용으로 정확한 식수 예측잔식 data활용으로 정확한 레시피 구축잔반 data활용으로 선호메뉴 list작성메뉴시뮬레이션 ... 맞는다양한 메뉴 제공산업체에서 근무경험이 풍부한 조리실장 투입및지속적인 맛찾사 skill실행정성조회, SMILE 캠페인을 통한 대 고객서비스 향상고객의 건강을 진단하는 wellness program제공고
    리포트 | 31페이지 | 2,500원 | 등록일 2015.10.17
  • [OLED 디스플레이공학] AMOLED의 재료를 Molecular simulation을 이용하여 HOMO와 LUMO 측정
    SummaryMolecular Simulation을 통해 복잡한 구조를 가지는 분자의 HOMO/LUMO값, band gap을 구할 수 있었다. ... AbstractMaterials Studio program을 사용하여 Molecular simulation을 해보고 유기물질의 LUMO와 HOMO값과 밴드갭을 측정한다. ... 이 program에서 사용할 tool은 DMol3이다.DMol3 geometry structure는 분자에 있는 원자 간의 거리를 조절해가면서 구조적으로 가장 안정한 상태의 HOMO
    리포트 | 3페이지 | 3,000원 | 등록일 2012.12.01
  • [HRD]인적자원 개발-교육훈련의 개발-
    모의교육(Simulation)3. ... 이에 따라 GE는 개인의 인지 학습에 중점을 둔 기존의 학습 모델 대신 팀을 구성하여 함께 문제를 해결하는 새로운 학습 모델을 채택했는데, 이것이 바로 Action Learning program ... 모의교육(Simulation)1. 정의 : 현실세계에서 이루어지는 어떤 현상의 과정 또는 역동성을 선별적으로 재현시키는 방법 2.
    리포트 | 28페이지 | 1,500원 | 등록일 2013.12.26 | 수정일 2014.07.14
  • AWGN 채널에서의 BPSK/QPSK 변조기법의 BER 분석 (매틀랩 분석)
    .% performance_psk.m% Simulation program to realize BPSK/QPSK transmission system%clear all; clc ; close ... program to realize BPSK/QPSK transmission system%clear all; clc ; close all ;%%N_bits = input('Data ... ('Data Stream [ rand(1,n) > 0.5 ] : '); %사용자에게 숫자를 입력받아 n에 저장, Data streamnumber = input('Number Of Simulation
    리포트 | 33페이지 | 2,500원 | 등록일 2012.06.05
  • 10진 카운터설계
    ..Function이 각각 신호에 대해 칩이 독립적으로 반응하는 것을 Simulation하는 형태를 보인다면, Timing Simulation은 VWF의 입력에 따라 신호가 ... 입력되어가면서 우리가 구성한 Schematic을 그 신호가 타고 흐를 때 그 시간마다의 출력변화를 확인 할 수 있는 Simulation이다.
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • C언어 Linked List, Queue의 구현, 이를 이용한 Process Scheduler의 구현
    Simulation 196. 결과 23□ 개요○ 제작개요? Level 1 : C 언어를 이용하여 Doubly Linked Circularly List를 구현? ... C Language를 이용한 Linked List의 구현(구현 List를 이용 Queue, Process Scheduling Program 제작)1. ... 개요 1제작개요 1제작기간 1관련이론 1설계 1사용 Program / Tool 12. 설계 2List ADT 2Queue ADT 2Scheduler23.
    리포트 | 25페이지 | 3,000원 | 등록일 2008.04.17
  • 동해 메탄 하이드레이트 생산 시스템 연구
    이 중 탄성파탐사 자료에서 BSR(Bottom Simulating Reflector)을 확인하는 것이 가스 하이드레이트 부존상태를 규명하기 위해 이용되고 있는 가장 보편적이고 효과적인 ... 가스 하이드레이트가 지질재해 위험성을 내포한다는 인식에서 출발한 JIP(Joint Industry Program)의 첫 번째 목표는 (1) 가스 하이드레이트 매장량 범위를 측정하는
    리포트 | 56페이지 | 3,000원 | 등록일 2017.03.23 | 수정일 2017.03.24
  • inverted pendulum
    MATLAB Simulation2.1 Introduction실제로 시스템을 제작하여 그 동작을 살펴보기에 앞서서, 위에서 모델링한 식을 가지고 시스템의 특성을 해석해보기로 한다. ... LabVIEW programming3.1 IntroductionLabview 프로그램을 통해 시스템을 구현하기 위해서는 크게 세 가지 파트를 구성해야 하는 데 크게 입력 파트와 데이터
    리포트 | 24페이지 | 2,000원 | 등록일 2007.11.08
  • 건축시공총론 - 요약정리
    PDM(Precederce Diagram Method), Simulation과 비용일정의 통합관리(EVMS : Earned Value Management System) 등을 이용한다 ... Project 관리방식Program Management 라고도 하며 초대형 공사에서 주로 사용되는 개념으로, Project의 기획단계에서 시설물 인도에 이르는 모든 활동의 계획.통제
    리포트 | 10페이지 | 2,000원 | 등록일 2017.01.27 | 수정일 2022.01.06
  • 공정 모사를 통한 증류탑 설계 실험 결과보고서
    실험 제목 : 공정 모사를 통한 증류탑 설계(Process Simulation - Distillation Tower Design)2. ... 실험 목적- UniSim Hysys simulation program의 사용법을 익히고, 주어진 조성의 top & bottom product를 얻기 위한 증류탑 설계 조건을 결정한다
    리포트 | 11페이지 | 2,500원 | 등록일 2015.11.03 | 수정일 2015.11.07
  • [삼성 자소서]삼성 HRD 교육 계획 및 인재양성 프로그램 -삼성 교육자료-
    interview, 관찰 등 결과보고 및 향후 활용방안: 문제점 및 개선방안 중심1단계: 과정만족도논술형, 단답형, 문제해결형(PSL), 객관식, 실기형 Project, Portfolio, Simulation목적교육목표가 ... 삼성글로벌 역량 개발Samsung Global Expert Program한방향 삼성핵심가치 공유Samsung Shared Value Program21C 글로벌 삼성 구현을 위한 인재육성삼성 ... Benefits ) – (Program Costs) (Program Costs)- 산출 프로세스 -When Arts Meets Science… The Challenge of Evaluation
    리포트 | 32페이지 | 2,000원 | 등록일 2013.12.14 | 수정일 2014.07.11
  • TRNSYS 16과 TYPE 56에서의 GenOpt 사용법
    \"%Simulation.Files.Input.Path1%\\%Simulation.Files.Input.File1%\"C:\\Program Files\\Trnsys16\\Exe\\TRNExe.exe5.1.2 ... 예제 모델그림 6은 Simulation Studio에서 작성된 예제이다. Type 28에 의해 총 냉? ... .● "C:\Program Files\Trnsys16\Examples"에 이 예제 파일의 압축을 푼다.
    리포트 | 18페이지 | 20,000원 | 등록일 2010.10.29
  • 지식정보화사회와 토목공학
    전 부문이 동시에필요에 따라서 정보로 활용할 수 있게 하는 것·ERP는 완전히 새로운 것이 아니라 1980년대 초 등장한 종합생산관리시스템(Material Requirement Program ... Visualization) : 3차원 표현으로 현실감 있는 공간검토 가능 및 의사소통 극대화- 자동화(Automation) : 3D 모델로부터 2D 도면 자동생성- 설계 및 시공성 검증(Simulation
    리포트 | 12페이지 | 1,000원 | 등록일 2016.07.28
  • 정신간호실습 실습일지 10일치
    교육 방법0) 강의 (Lecture)1) 시범 (Demonstration)2) 프로그램 학습(Programmed instruction)3) Social drama: 참가자들에게 참가한 ... 사람의 역할이나 감정을 연기하게 함→현실의 삶의 상황을 재창조하도록 함4) 역할연기(Role-playing): 한 사람을 다른 사람의 입장에 놓아 대안 행동을 탐구한다.5) 모의실험(Simulation
    리포트 | 24페이지 | 1,000원 | 등록일 2016.12.10
  • 카이스트 전자공학실험3 실험4 Time-base 결과보고서
    구현하자.EPLD를 사용하여 Interface board에 time-base 회로를 구현하고, 이를 구동하고 제어하기 위한 PC 및 embedded board에 software program
    리포트 | 14페이지 | 2,500원 | 등록일 2011.11.06
  • 옴의법칙
    PSpice는 Spice(Simulation Program with Integrated Circuit Emphasis) 프로그램을 개량한 것으로, Professional Spice라 ... 기초이론- PSpicePSpice란 전자회로를 시뮬레이션(Simulation)으로 동작을 검증하고, 시제품을 제작하는 등의 단계를 거치면서 설계가 이루어진다.
    리포트 | 12페이지 | 1,000원 | 등록일 2014.03.22
  • 카이스트 전자공학실험2 실험8 Co-Simulation & Co-Emulation using FPGA 결과보고서
    iPROVE_ELF_FILE' parameter as ELF filecode에 정의해 주었다.- Run "RunMe.bat"Co-emulation을 수행한다.PROM에 파일을 다운로드 하여 PROM Programming을 ... Simulation)은 Co-simulation의 HDL Simulator를 전용 가속기로 대체하여 검증 속도의 향상을 얻는다. ... Co-Simulation & Co-Emulation Using FPGA1.
    리포트 | 9페이지 | 2,500원 | 등록일 2011.11.06
  • [관리과학] 관리과학의 개념 및 특징, 관리과학의 제기법(관리과학기법)
    뿌리를 두고 있으며 그 기법으로서는 OR, 게임이론, PERT(사업계획평가검토기법), 선형계획(LP), CPM(Critical Path Method ; 경로공정관리법), 시뮬레이션(Simulation ... 윤리가 요구된다.7/ 분석의 결과가 비윤리적인 결과를 유도할 수 있다.8/ 정치 경제학적 임장에 대한 근본적인 비판이 제기되고 있다.3) 목표계획법(1)목표계획법(GP : goal programming
    리포트 | 7페이지 | 2,500원 | 등록일 2015.06.03
  • 디지털 통신 텀프로젝트 BPSKQPSK 기반 디지털 변조기법 모의실험
    BPSK 소스코드>> % performance_psk.m% Simulation program to realize BPSK/QPSK transmission system%% clear ... n = input('Data Stream [ rand(1,n) > 0.5 ] : ');% 시뮬레이션 반복할 루프 횟수를 입력 받는다.number = input('Number Of Simulation
    리포트 | 13페이지 | 1,000원 | 등록일 2014.07.09
  • Relatively Simple CPU 프로그램과 시뮬레이터 사용
    of the individual instruction using RS-CPU Simulator(Ref.http://wps.aw.com/aw_carpinelli_compsys_1/) ... Report과목: 컴퓨터 구조담당교수: 우종호 교수님학과: 컴퓨터멀티미디어과학번: 200611665이름: 이수호과제 출제 내용:Write a program for a Relatively ... Simple CPU designed in Ch. 6 and enter the program and step through the fetch, decode, and execution
    리포트 | 7페이지 | 1,000원 | 등록일 2010.11.23
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대