• 통큰쿠폰이벤트-통합
  • 통합검색(4,992)
  • 리포트(3,427)
  • 자기소개서(1,280)
  • 시험자료(177)
  • 방송통신대(58)
  • 논문(23)
  • 서식(11)
  • 이력서(7)
  • ppt테마(7)
  • 노하우(2)

"동기화 입력부" 검색결과 121-140 / 4,992건

  • 일반물리학실험 오실로스코프의사용법 예비레포트
    채널에 따라 독립적으로 설정할 수 없고 공통으로 적용된다.(28)TRIGGER LEVEL : x축의 시간을 동기시키는 데 사용된다. ... 이 커넥터에 BNC플러그를 끼울 때는 본체 커넥터의 돌출부와 플러그의 틈을 잘 끼워 맞춘 후 시계방향으로 돌려서 고정해야 한다.(10) ACGND/DC절환스위치- GND 상태 : 해당 ... 20) CH 2,Y _{IN}커넥터 : 채널 1에 입력신호를 연결하는 커넥터이다.x-y` mode 에서x축 입력신호가 된다.오실로스코프의 커넥터는 BNC type이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.11.09
  • 한국어 능력 중 사회언어학적 능력이 떨어지는 학습자의 한국어 능력을 신장시키기 위한 구체적인 방안에는 무엇이 있을지 설명해 봅시다
    보다 구체적으로는 확장된 읽기가 한국어의 어휘 지식과 쓰기 능력을 향상시키고 읽기 동기를 부여할 수 있을 것으로 기대된다. ... 그러나 외국어 교육이 사용 측면만을 강조한다면 언어의 형태적 측면에 대한 교육을 소홀히 하여 대상 언어의 부정확한 사용과 같은 부정적인 결과를 초래할 수 있다.이는 외국어를 통합적으로 ... 따라서 학습자는 교실 밖에서 가능한 한 많은 이해 입력과 교실에서의 입력을 받을 필요가 있다.
    리포트 | 1페이지 | 4,000원 | 등록일 2022.03.17
  • 실습 6. 위상 제어 루프(PLL) 예비보고서
    설계실습 계획서6-3-1 위상제어루프의 용도이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다.위상 제어 루프는 두 입력의 위상 차이에 비교해 ... 실습목적위상 제어 루프 회로의 이론을 학습하고 간단한 위상 제어 루프 회로를 구성하여 주파수 동기화 (Phase Locking) 원리를 이해한다.6-2. ... Vref 단자에 원하는 주파수를 인가 입력한다. VPULSE 5V 크기의 전압원을 연결함2.
    리포트 | 14페이지 | 2,000원 | 등록일 2022.09.19
  • 다이오드 리미터 회로와 클램퍼 회로의 특성 실험 결과레포트
    클리핑회로는 직렬 클리핑회로, 병렬 클리핑회로, 바이어스 된 클리핑회로로 구분 가능하다.○ 클램퍼회로클램핑 회로는 최소한 다이오드 1개, 콘덴서 1개, 저항 1개가 필요하고 직류전원장치가 부가 ... 텔레비전의 동기 신호 증폭 회로나 기타의 펄스 회로에 사용된다.따라서 트랜지스터, 다이오드, 저항등의 소자를 이용하여 회로를 구성한다.2. ... 어느 일정한 레벨로 고정시키는 회로로 스위칭할 때의 전압 변화 등을 어느 범위로 제한하기 위한 회로이며, 따라서 증폭 작용에 의해서 잃은 직류분을 재생하는 것이 특징이며 텔레비전의 동기
    리포트 | 8페이지 | 1,500원 | 등록일 2021.09.07 | 수정일 2021.12.01
  • 경북대 기초전기전자실험 A+ 오실로스코프
    EXT TRIG IN : 외부동기 혹은 트리거 펄스입력 단자.? ... 이 때 트리거(Trigger) 신호는 외부동기(EXT) 위치에 놓고 수평, 수직 입력단자에 가하는 주파수 중에서 어느 하나를 알고 있으면 아래 식으로 미지의 주파수를 계산할 수 있다.f ... 이외에도 SYNC OUT가 출력된다.함수 발생기는 주파수가변부, 동작모드부, 출력파형 선택부 그리고 DC오프셋(offset)과 DC출력부 등으로 구성되어 있다.출력파형은 기본적으로
    리포트 | 10페이지 | 3,000원 | 등록일 2019.09.15
  • 췌장암케이스 간호사정(간호과정 아님)
    키, 몸무게 TPR 입력, 자가약 확인, 의정내리고 용법, 용량 입력 해주세요.5. check BST#4(DM환자)> 250s/s, by H.6. ... 입원일시: 0000년 0월 00일 · 혈액형: B+· 정보 제공자: 본인 · 신체: 170cm, 60kg· 도보· 가계도:◎입원정보· 진단명: Pancreatic cancer· 입원동기 ... ·pitting edema(-/-)·CVA tenderness(Lt:-/Rt:-)·Barbonski:(-/-)·Other wise Nots◎가족력부-급성 바이러스성 간염으로 70세
    리포트 | 5페이지 | 1,000원 | 등록일 2024.03.21
  • [운영계획안) 대학수학능력시험 이후 운영 프로그램 계획안입니다. 프로그램이 구체적으로 잘 제시되어 있습니다.
    (마지막 한마디)12월 01일(금)체육대회(체육관-농구/기마전)체육대회(체육공원-족구/풋살)12월 04일(월)정규수업확) 이미지 만들기(서평)12월 05일(화)정규수업+(성교육3)동기부여 ... 20××학년도 대학수학능력시험 이후 운영 프로그램 계획안3학년부1. ... 수능 사전채점 및 입력 : 11월 24일(금)나. 2학기 2회 고사 : 11월 27일(월)~29일(수)다. 대학수학능력시험 성적 발표 : 12월 12일(화)라.
    리포트 | 3페이지 | 3,000원 | 등록일 2023.03.17
  • 차동증폭기 예비보고서
    이 때,R _{E}는 증폭기에 부귀환을 제공하며,Q _{1}과Q _{2}에 공통이므로 각 트랜지스터가 혼자 동작할 때 보다 부귀환 효과는 두 배이다. ... 신호 전압(V _{1})을 측정한다.V _{1}이 50mV가 될 때까지 신호발생기의 출력을 조절하고, 신호 발생기의 출력 신호로 오실로스코프를 외부 트리거/동기(trigger/sync ... 바이패스 되지 않은 소스 저항이 차동 증폭기에 미치는 영향공통 모드 신호에서 바이패스 되지 않은 이미터저항R _{E}는 부귀환을 제공하므로,R _{E}가 클수록 공통모드에서 부귀환
    리포트 | 6페이지 | 5,000원 | 등록일 2020.04.02
  • 결국 해내는 사람들의 원칙
    이는 뇌를 스캔하는 장치로 RAS가 어쩌면 뇌의 활성화 스위치이며 동기부여 센터이기도 한다는 말이다. 예를 들어 구입하기로 했던 차종이 정해졌더니 도로에 같은 차가 부ㅉ? ... 감각기관으로 입력되는 거의 모든 정보가 망상활성계를 거쳐 뇌로 들어간다. 어떤 정보를 뇌로 보내고 어떤 정보를 무시할지 망상활성계가 결정한다. ... 이 책에는 부와 성공을 끌어당기는 것을 우주 대신 뇌와 RAS라는 망상활성계를 설명한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2024.03.19
  • 디지털 시스템 제어공학
    - 7개의 차동 입력- 1x, 10x, 200x 이득으로 이루어진 2개의 차동 입력바이트 중심의 TWO- Wried Interfacr(동기 2선식 통신)2개의 USRAT(Universal ... 여기에 나타난 상태 비트는 조건부 처리명령에 의하여 프로그램의 흐름을 변경하는 데 사용될 수 있으며, 인터럽트를 실행할 때 자동으로 PUSH되거나 POP되지 않으므로 사용자가 이를 ... Synchronous & Asynchronous Receiver/Transmitter, 범용 동기/비동신 통신)SPI(Serial Peripheral Interface, 동신 3선식
    리포트 | 12페이지 | 1,500원 | 등록일 2024.05.09
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    D6T6Write = OE * /WE * /CS제어 신호는 active low로 들어가야 해서 최종적으로 제어 신호가 들어가기 전에 최종 출력단으로 nor 게이트를 사용했다.SRAM의 입력부는 ... 12bit까지만 쓰는데 이는 AR의 출력부에서 12bit만을 보내기 때문이다. ... 제어장치에서 발생된 제어신호가 클럭과 동기되어 레지스터의 상태를 인에이블시킨다. ( 레지스터 선택 )#구성될 CPU 내부 로직기본 CPU에는 위와 같은 구성으로 내부에 레지스터들이
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • [전자회로실험] cds센서를 이용한 주차관리 프로젝트 결과보고서
    OP-AMP의 +V _{CC}는 전원부와 연결하여 5V를 입력하고 GND는 전원부의 GND와 연결하여 0V를 입력하였다. ... 주제선정 및 동기2. 프로젝트 목적3. 시스템 개요4. ... 주제선정 및 동기- 책과 이론으로 학습한 내용을 바탕으로 실생활에 이용하여 공학의 의미를 찾고자 하였다.
    리포트 | 11페이지 | 1,500원 | 등록일 2019.12.03
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 7주차 Lab07 결과 레포트 Sequential Logic 2, 전자전기컴퓨터설계실험2,
    SW1, 2를 사용Button SW1을 누르면 bit 1 입력Button SW2를 누르면 bit 0 입력출력: LED1버튼입력은 실습 3에서 만든 동기입력으로 변환하여 사용할 ... 전자전기컴퓨터공학부 설계 및 실험2Post Lab-07Sequential Logic 2(Finite State Machine)실 험 날 짜학 번이 름목차1. ... 1입력-> STATE1STATE4에서 0입력-> STATE2다음은 총 5개의 STATE마다의 출력결과와 각각의 STATE마다 입력이 1, 0일 때 어떻게 반응하는지 작성한 표이다.
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서5
    동기용으로 보내는 신호를 파일럿 신호라 한다. ... 오실로스코프를 동기화하고 포락선의 한 주기를 얻기 위해 sweep를 조절한다. ... 그 회로의 (A)점에 분주기(주파수를 1/n으로 하는 것)를 넣으면 VCO는 입력 주파수의 n배로 발진한다. 이 출력 주파수의 안정도는 입력 주파수의 안정도에 의존한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2021.10.24
  • 최근 점점 지식근로자의 중요성이 부각되고 있습니다. 지식 근로자와 고성과 작업 시스템대하여 각각 설명하고, 기업들이 지식 근로자들을 보다 잘 활용할 수 있는 방안을 제시하고 그 사례를 하나 소개하시오.
    [과목명 입력]? 주제 : 현대시대에 맞게 급 부각한 지식근로자Ⅰ. 서론먼저 지식근로자를 설명에 기반이되도록 지식근로자와 육체노동자의 차이점을 알아보자. ... 임파워먼트이 동기부여에서는 "마음으로 리드하고, 사람에 집중한다." 임파워먼트의 문제점도 존재한다. ... 피터드러커가 정의하는 육체노동자와 지식근로자의 개념은 같은 직업군의 하층부와 상층부의 개념이다. 육체노동자가 하층부에속하고 지식근로자가 상층부에 속한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.06.06
  • 아날로그및디지털회로설계실습 7주차 위상제어루프
    실험결과1-3-1 위상제어루프의 용도이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해 서술한다.전압제어 발진기의 출력 신호와 기준 신호가 위상 검출기를 ... 이 경우, 출력 주파수와 입력 주파수는 완전히 동일하고 위상차만 존재하게 된다.RF에서 PLL이 하는 용도는 두가지가 있다. ... 루프필터는 LPF이므로 위상 검출기의 저주파성분인 평균 전압만을 출력으로 내보내는데 이 값이 전압 제어 발진기의 입력으로 들어가는 loop를 구성하게 된다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.12.15
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)3. 스텝 모터 구동기 강의자료 할인자료
    중앙대학교 전자전기공학부, “아날로그 및 디지털 회로 설계 실습”, P. 43-2. 김재휘, “최신자동차공학시리즈 3 – 첨단자동차전기전자”, 도서출판 골든벨, 2012 ... 이는 Parallel Input 동작으로 초기 5V 전압을 인가받는 A, B의 입력을 그대로 출력으로 나타내기 때문이다.(1.2) 설계 실습 계획서의 표에서 예측했던 동작을 실제로 ... 이러한 출력을 이용하여 스텝 모터에 연결하여 모터를 구동시켰다. shift left, shift right에 따라 모터가 각각 반대방향으로 회전한 것을 확인할 수 있었다.스텝모터는 입력되는
    리포트 | 5페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10 | 수정일 2022.10.12
  • [일반물리학실험]파동의 중첩
    CH1 증폭부로 연결하거나 X-Y 동작시 X축 신호가 된다.⑧ CH2, Y in 커넥터입력신호를 CH2 증폭부로 연결하거나 X-Y 동작시 Y축 신호가 된다.⑨ AC/GND/DC 절환 ... 신호를 줄 때 사용한다LINE : 교 신호가 된다.류전원(100V)에 동기시키고자 할 때 사용한다. ... 스위치로서 트리거를 어디에 기준할 것인가를 선택한다.전압 디텍트 모드 (Volt detect mode): 설정된 전압을 지나는 순간 트리거EXT: 외부에서 SOURCE를 통해 별도의 동기
    리포트 | 10페이지 | 3,600원 | 등록일 2020.10.25
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서6
    Clear와 Preset은 클럭과는 비동기식인 입력으로 클럭에 상관없이 동작한다. ... 동료애: 동료와 협력자가 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일 ... :과목명: 논리회로실험교수명:조교명:분 반:학 번:성 명:전자공학부실험6.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 텀프로젝트 디지털논리실험및설계 [ 주차장 카운트 ] A+자료
    초반엔 선을 정리하고 엮으며 팽팽하게 선을 연결했으나 선이 얇아 중간 부분에 금속 부 ... 비동기 (클럭에 무관) 또는 동기적으로 카운터의 시작점을미리 설정할 수 있는 카운터이며 전파지연 때문에 FF들이 동시에 트리거 되지 않음. ... 동기 및 목적일상생활에 자동차를 끌고 나갈 때 가장 문제가 되는 것이 주차 문제이다.
    시험자료 | 19페이지 | 6,500원 | 등록일 2023.12.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대