• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,829)
  • 리포트(3,232)
  • 자기소개서(302)
  • 시험자료(178)
  • 방송통신대(101)
  • 논문(10)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"디지털 논리 설계" 검색결과 141-160 / 3,829건

  • [논리회로]디지털회로 설계의 기초 1장 연습문제
    연습문제다섯 비트 2의 보수 표현법으로 나타낼 수 있는 모든 정수를 이에 상응하는 2진수와 함께 표현하시오.2진수는 부호과 크기법으로 표기함2의 보수 표현법 10000은 -32의 값으로 5비트 2진수로는 표현 불가능2의 보수 표현법2진수2의 보수 표현법2진수0000**..
    리포트 | 9페이지 | 1,000원 | 등록일 2006.05.20
  • [디지털논리설계] DLD Homework Solutions
    ..FILE:Homework1.hwpHomework #1 SolutionsChapter 2 Problems12. Binary operator인 ·와 +에 대하여 항등원이 정의된다. 이 항등원은 binary number 집합인 {1,0}에 포함되어야 하며, 어떤 변수 x..
    리포트 | 7페이지 | 1,000원 | 등록일 2002.10.21
  • [논리회로]디지털회로 설계의 기초 2장 연습문제
    (A+D')) = (B+(C'+(A+D')')')''변환하시오.2.7 2비트 데이터에 1비트를 MSB에 추가하여 홀수 패리티(odd parity)를 발생시키는 회로를 설계하려고 한다.A ... 논리 함수 Z를 위한 논리도를 작성하시오. ... (다) (나)의 결과를 이용하여 논리 함수를 구현하기 위한 논리도를 도출하시오.(라) (다)의 결과를 IEEE 논리 심볼로 그리시오.(AB+A'B'+D)?
    리포트 | 12페이지 | 1,000원 | 등록일 2006.05.20
  • [논리회로설계] 디지털 데이터의 입출력 인터페이스 설계
    디지털 데이터의 입출력 인터페이스 설계1. ... 디지털 데이터 입·출력 회로도디지털 데이터의 출력을 세븐세그먼트표시기에 표시하는 회로도 설계(데이타 입력은 프로그램 사용)1. ... 디지털 데이터를 출력하는 회로- 디지털 데이터의 값을 유지하는 플립플롭부와 이 플립플롭을 동작시키기 위한 클럭신호를 제공하는 디코더부로 구성되어있다.1) 플립플롭부· CPU의 데이터는
    리포트 | 7페이지 | 1,000원 | 등록일 2003.12.12
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 7장 부호변환회로
    [그림 7-1 부호 변환 장치의 개요]모든 부호변환 회로는 조합논리 회로이므로, 조합논리 회로를 설계하는 다음 절차에 의하여 설계한다.⑴ Block Diagram을 그리고 주어진 조합논리회로의 ... 실험 목적디지털 시스템에 사용되는 각종 부호변환 회로를 직접 설계한 후, 회로로 구현하여 동작 특성을 이해한다.? ... 논리실험기 (Digital Logic Lab. Unit)? 7404 (6조 Inverter)? 7408 (4조 2입력 AND Gate)? 7432 (4조 2입력 OR Gate)?
    리포트 | 8페이지 | 2,000원 | 등록일 2005.03.30
  • 연세대학교 2008년 디지털 논리회로 토카안/김홍식/테오벵진 교수님 프로젝트(플립플롭을 사용한 스톱워치 설계)
    이번의 Term Project는 C언어를 사용해서, 스톱워치를 설계하는 것이다. 스톱워치는 간단한 시작/정지 버튼과, 리셋 버튼을 가지고 있다. ... 이를 설계하기 위해서는 스톱워치의 숫자결과당 하나의 카운터, 즉 네 개의 카운터가 필요하다. 그리고, 하나의 카운터는 각각 4개의 플립플롭으로 구성되어 있다.
    리포트 | 10페이지 | 2,000원 | 등록일 2011.12.18
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 5장 기본 연산 회로
    [그림 5-10]⑶ 사용 기자재 및 부품◎ 논리 실험기 (Digital Logic Lab. ... Unit)◎ 오실로스코프 또는 디지털 멀티메터¤ 7408 (4조 2입력 AND Gate)¤ 7432 (4조 2입력 OR Gate)¤ 7486 (4조 2입력 XOR Gate)¤ 7400 ... 연산회로이다.반 가산기의 진리표, 논리식, 논리회로는 [그림 5-2]와 같다.
    리포트 | 11페이지 | 2,000원 | 등록일 2005.03.30
  • [디지털 논리회로 설계] 비동기식 / 동기식 카운터
    카운터를 설계하는 과정은 앞장에서 학습했던 일반적인 순차회로 설계절차와 크게 다를 바 없다. 문제는 이미 주어졌으므로 먼저 입력, 출력 및 상태변수를 정하자. ... .* 동기식 계수기 2종류(이진, ÷계수기)를 설계하고 이의 동작을 확인한다.2. ... 그림 9-4(a)에 설계하려는 modulo-6 카운터에 대한 상태천이도를 나타내었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2005.05.21
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 8장 병렬가산기 및 감산기
    논리실험기 (Digital Logic Lab. Unit)? 7408 (4조 2입력 AND Gate)? 7432 (4조 2입력 OR Gate)? 7404 (6조 Inverter)? ... [그림 8-1] HA, FA를 이용한 4 bit 2진 병렬 가산기의 블록도그러나 두 개의 4 bit 2진수를 더하는 회로는 하나의 칩(7483)으로 설계되어 있으므로 IC 7483 ... [그림 8-3] 7483을 이용한 2진 감산의 예2.3 BCD 가산기컴퓨터와 같은 디지털 시스템에서의 연산은 이진법을 사용하지만 우리가 일상적으로 사용하는 수는 10진수이므로 BCD
    리포트 | 7페이지 | 1,500원 | 등록일 2005.03.30
  • [디지털논리회로설계실험]디지털 논리회로 설계실험 예비보고서 6장 대소 비교 회로 및 다중 출력 회로
    ⑴ 실험 목적대소 비교 회로, 일치회로 및 다중 출력 회로를 설계하여, 각 회로의 구성 및 동작 특성을 실험을 통하여 이해하며 학습한다.⑵ 관련 이론 2.1 대소 비교 회로대소 비교 ... 결과를 출력하는 1bit 2진 비교기의 진리표 및 논리회로는 [그림 6-1]과 같다. ... 즉, 이 회로는 입력 A, B를 비교하여 W, X, Y에 두 수의 비교 결과를 출력하는 조합 논리 회로이다. 1bit의 2진수 A, B 2개를 비교하여 W, X, Y에 두 수의 비교
    리포트 | 10페이지 | 1,500원 | 등록일 2005.03.30
  • [디지털 논리] 4-bits adder를 이용한 곱셈기 설계
    디지털 논리회로 실험Project #29 반 9 조제출일 : 2004. 11 .22, 월0041187 권용범0041121 최인영0340508 김석현*Adder를 이용한 곱셈기 설계* ... 계산을 생각해서 4bit 양수 2개의 계산 과정을 보면 다음과 같다.그림 input X, Y, output Z에 대한 곱셈 과정그림 곱셈 과정4-bits adder를 이용한 곱셈기 설계 ... S[3], Cout[3]); // F4이라는 fulladd 모듈 설정endmodule◎ 결 과● wave form● time analyzer◎ 결과분석 및 토론이전 프로젝트에서 설계
    리포트 | 5페이지 | 5,000원 | 등록일 2005.01.08 | 수정일 2021.05.03
  • [디지털 논리회로]Flip Flop을 이용한 Clock 제어회로 설계
    디지털 논리 회로 Final Proj.- 동기 Clock에 의한 제어회로 설계 및 제작 과제 -1. ... 설계1) 기본 설계(1) State Diagram{A(X=0)→(X=1)B→←(Y=0)C↑(X=0)↑↓(Y=1)D(z=0)F(X=1)←E←(Z=1)- X : Debounce Switch ... 이러한 신호현상을 없애 신뢰성있는 디지털 회로를 구성해야 하기때문에 아래 회로도 같이 기계적인 스위치와 함께 "Debouncing 회로 를 첨가 해 주어야 한다.{- 좌측에 사용된
    리포트 | 14페이지 | 2,000원 | 등록일 2004.03.14
  • [디지털 논리설계 실험]디코더/인코더 및 다중화기/역다중화기
    [실험 5] 디코더/인코더 및 다중화기/역다중화기◎ 관련이론1). 디코더(DECODER)2진 코드나 BCD 코드를 입력으로 하여 10진수로 변환해 주는 장치로 해독기라고도 하며 AND 게이트로 구성된다. n개의 입력의 대하여 2n 개의 출력이 가능하다.< BCD-to-..
    리포트 | 6페이지 | 1,000원 | 등록일 2006.04.25
  • [디지털 논리회로] 동기 Clock에 의한 제어회로 설계 및 제작 과제
    디지털 논리 회로 H.W- 동기 Clock에 의한 제어회로 설계 및 제작 과제 -1. ... 설계1) 기본 설계(1) State Diagram{A→B→C↑↓E←D- X : Debounce Switch , Power On 시 A State에 있음.I) X = 0 일 때, State
    리포트 | 15페이지 | 2,000원 | 등록일 2004.03.14
  • 디지털 시스템의 설계단계는 어떻게 구분되며, 각 단계에서 수행하는 일은 무엇인지 설명하시오.
    디지털 시스템의 설계단계는 어떻게 구분되며, 각 단계에서 수행하는 일은 무엇인지 설명하시오.디지털 시스템(digital system)은 여러 단계로 이루어진다. ... 디지털논리회로1. ... 입력이 3개이고 출력이 1개인 조합논리회로에서 입력 중 0의 개수가 1의개수보다 많으면 출력이 1이 되고, 그 외의 경우에는 0이 되는 조합논리회로를 설계하시오.디지털논리회로1.
    방송통신대 | 7페이지 | 8,000원 | 등록일 2020.07.07 | 수정일 2020.08.09
  • 서강대학교 21년도 디지털논리회로실험 9주차 결과레포트 (A+자료) - ROM, RAM, Dot matrix, key matrix
    디지털논리회로실험 9주차 실험 보고서목적- 메모리 소자들(ROM, RAM)의 동작 원리와 활용 방법을 이해한다.- Address decoding의 개념과 구현 방법을 이해한다.- ROM을 ... 이렇게 분주를 이용해 문제를 해결했다.2)32Kx8(32Kbyte) 구조를 갖는 메모리를 이용해서, 128Kx16의 구조를 갖는 메모리 모듈을 설계해보자. ... 이렇게 만든 32Kx16 ROM을 하나의 block으로 두고, address decoder를 이용해 128Kx16 ROM을 설계해보면이렇게 address dx
    리포트 | 35페이지 | 3,000원 | 등록일 2022.09.18
  • 디지털 논리 회로 실험 레포트 [7세그먼트로 숫자표현]
    디지털 논리 설계프로젝트 3 레포트Ⅰ. 목표불대수와 진리표, 카노맵 등을 이용하여 주어진 문제를 간소화하고 이를 브레드 보드를 이용하여 구현한다. ... 논리 회로를 직접 구현한다.Ⅱ. ... 회로 설계자는 이러한 특징을 통해 자신이 설계하고자 하는 회로를 제작할 수 있다.그림 1 브레드 보드2.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.01.03
  • 방통대 ) 디지털논리회로 대체과제물
    A4용지 사용디지털 시스템의 설계단계는 어떻게 구분되며, 각 단계에서 수행하는 일은 무엇인지 설명하시오.크게 회로 설계(circuit design)단계, 논리 설계(logic design ... 『온라인 제출용 출석수업대체과제물 표지』2020 학년도 ( 1 )학기 출석수업대체과제물교과목명 : 디지털논리회로학 번 :성 명 :연 락 처 :______________________ ... 만들기 위해 능동소자와 저항과 같은 수동소자를 연결하는 단계이다.논리 설계 단계는 조합논리회로 또는 순서논리회로를 만들기 위해 논리소자를 연결하는 단계이다.시스템 설계논리설계 단계에서의
    방송통신대 | 7페이지 | 5,000원 | 등록일 2020.05.18 | 수정일 2020.06.13
  • 디지털 논리회로 4장 연습문제 풀이 (생능출판, 김종현)
    4.1(1)풀이 및 답: 은행 금고의 잠금장치(L)는 영업시간(x)중이거나 감사기간(y)중에, 담당직원(A)과 보안요원(B)이 모두 사무실에 있을 때만 열어둘(L=1)수 있다고 했으므로 x와 y는 OR 연산, A와 B는 AND연산이면서 그 두 개의 결과값이 같이 AND..
    리포트 | 12페이지 | 3,000원 | 등록일 2021.03.18 | 수정일 2021.04.20
  • 디지털 논리회로 3장 연습문제 풀이 (생능출판, 김종현)
    이때 출력 1이 발생되면 의견 일치를 나타내는 램프가 켜지도록 장치를 설계한다. 한 개의 논리 게이트만 이용하여 구성해야 하므로 XNOR 게이트를 이용하면 된다. ... (AND 게이트를 사용하여 모두 입력값이 1인 경우에만 램프가 켜짐)ABC3.8풀이 및 답: 모든 논리 게이트들은 입력 단자의 수가 두 개이면서 그림 3-8에서 창문 두 개(C, D
    리포트 | 9페이지 | 3,000원 | 등록일 2021.03.17 | 수정일 2021.03.22
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:29 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대