• 통큰쿠폰이벤트-통합
  • 통합검색(2,494)
  • 리포트(2,314)
  • 시험자료(78)
  • 자기소개서(59)
  • 방송통신대(22)
  • 논문(16)
  • 서식(4)
  • 이력서(1)

"카운터설계" 검색결과 141-160 / 2,494건

  • [디지털 논리설계 실험]비동기식/동기식 카운터
    Title : 비동기식/동기식 카운터Object : 비동기식/동기식 카운터의 구성을 이해하고 동작을 확인한다.★ 목차 ★1. 카운터의 정의2. ... 빠른 클럭신호에 의해 구동할 수 있다는 장점☞ 수를 세는 방향에 따라 올려세기 카운터와 내려세기 카운터로 분류☞ 수를 세는 주기에 따라 이진 카운터와 십진 카운터 등으로 구별할 수 ... 동기식 카운터로 분류☞ 기능에 따라 올려세기 카운터(Up counter)와 내려세기 카운터(Down counter)로 나눌 수 있음2.
    리포트 | 10페이지 | 1,000원 | 등록일 2006.04.25
  • [7segment] 멀티심을 이용한 학번 카운터 설계 내부에 그림파일이 포함되어 있습니다.
    학번을 전광판에 출력하는 것으로 카르노맵을 만들어 로직표현으로 바꾸는 과정을 처음부터5개 step으로 만들어놨고 원서수업이라 원서 수업이라 영문으로 만들어놔서 그런지 교수님도 굉장히 좋아하셨습니다.
    리포트 | 5페이지 | 2,000원 | 등록일 2007.11.01
  • [공학기술]vhdl 설계 및 응용 - 플립플롭, 카운터 등 예제3 (디지털 아날로그 설계및 응용)
    MOD 6의 카운터를 구현할 수 있는 디지털 논리회로를 설계하시오. ... 이 때 사용되는 카운터는 동기식을 사용하며 MOD 6의 카운터설계한다. 즉, KEY_0을 누르면 LED1~3가 “000”이 된다. ... 이때 사용되 는 카운터는 동기식을 사용하며 MOD 10의 카운터설계한다. 즉, KEY_0을 누르면 LED1~4가 "1111"이 된다.
    리포트 | 10페이지 | 1,500원 | 등록일 2007.07.07
  • [전산기구조론] 순차회로를 이용한 이진카운터설계[0~7까지 카운터]
    카운터 회로도 설계{ ... 순차회로 설계 방법을 이용하여 0,1,2,3,4,5,6,7에서 다시 0,1,2,..세어 나가는 이진 카운터의 회로도를 그리시오.1. ... 개요0~7까지 세어나가는 것은 Modulo-8 동기카운터의 신호의 계수 방법이다J-k Flip-Frop을 이용하여 제작하는 것이 가장 바람직한 설계방법이다.2.
    리포트 | 4페이지 | 1,000원 | 등록일 2003.05.07
  • [디지털 논리회로 설계] 비동기식 / 동기식 카운터
    카운터 회로를 직접 설계해보자. ... 카운터설계하는 과정은 앞장에서 학습했던 일반적인 순차회로 설계절차와 크게 다를 바 없다. 문제는 이미 주어졌으므로 먼저 입력, 출력 및 상태변수를 정하자. ... 그림 9-4(a)에 설계하려는 modulo-6 카운터에 대한 상태천이도를 나타내었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2005.05.21
  • 연세대 전기전자 기초실험 10. 플립플롭과 카운터 설계 실험 (결과보고서)
    카운터의 동작을 설명하시오. ... 클록 펄스 10번째에서는 처음의 상태로 다시 돌아가도록 설계가 되며 진리표를 보면 State가 9에서 0으로 변할 때 첫 번째와 네 번째 플립플롭의 출력만 바꿔주면 다시 0000 상태로 ... 진리표는 다음과 같다.S1S2Mode00Hold01Shift Right10Shift Left11Now Allowed동기식 십진 카운터는 실제 생활에서 쓰는 십진법을 표현한 카운터이고
    리포트 | 5페이지 | 1,000원 | 등록일 2007.12.30
  • 연세대 전기전자 기초실험 10. 플립플롭과 카운터 설계 실험 (예비보고서)
    목적 다양한 종류의 플립플롭에 대한 동작원리를 이해하고, 이를 바탕으로 카운터설계하여 검증한다. 2. ... 개요 ① 플립플롭의 동작원리 이해 ② 플립플롭을 이용한 쉬프트 레지스터의 동작원리 이해 ③ 다양한 종류의 카운터 동작원리 이해 ④ 플립플롭 및 카운터에 대한 verilog
    리포트 | 6페이지 | 1,000원 | 등록일 2007.12.30
  • 1. 동기식 clear, load를 갖는 양방향 카운터를 VHDL로 설계 시뮬레이션한 후 파형을 관찰
    동기reset을 포함한 12진 카운터설계 파형을 관찰하시오 ... 동기식 clear, load를 갖는 양방향 카운터(0~255)를 VHDL로 설계해서 시뮬레이션한 후 파형을 관찰하시오.(variable과 integer사용)2.
    리포트 | 2페이지 | 1,000원 | 등록일 2007.05.14
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Counter 실험결과보고서
    실험 목적Counter에 대해 이해하고 10진 카운터설계할 수 있다.Chapter 2. ... 회로에 주로 사용된다.* Counter - 순차 회로는 상태를 순서대로 순환시킨다. - 동기식 카운터(Synchronous counter): 여러 개의 플립플롭이 변경되어야 할 때 ... 반전시켜준다. * T Flip-Flop- T를 toggle로 보아 입력 T의 값이 0이면 상태가 유지되고, 1이면 반전된다. - ON/OFF가 교차되는 스위치에 사용되는 회로로 카운터
    리포트 | 5페이지 | 2,500원 | 등록일 2023.02.28
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    디지털회로실험및설계 예비 보고서 #6( 74LS192를 이용한 Up/Down Counter 실험 )과 목담당교수제 출 일학 번이 름1. ... 74LS192 업다운 카운터- 업 카운터나 다운 카운터나 링 카운터의 경우 입력 신호가 한 번 펄스를 가할 때 카운터가 가산되거나 감산되는데에 비해, 업다운 카운터의 경우 신호가 끊어지면 ... 다시 카운터가 초기화 즉, 리셋이 된다.- VCC는 16번핀, 그라운드는 8번핀.- 15, 1, 10, 9번핀이 INPUT 핀- 11번핀은 로드역할.- 3, 2, 6, 7번핀이 OUTPUT
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 아날로그 및 디지털회로설계실습 12 Stopwatch 설계 예비 리포트
    Stopwatch 설계요약: vpulse를 CLR 10진 카운터와 100진 카운터를 만들었고 그 후에 10진 카운터 3개를 연결하고 가운데의 카운터를 6진으로 만들어 시계처럼 동작하는 ... 설계실습 12. ... 처음에는 vpulse를 CLR 10진 카운터설계했고 그 후에 100진 카운터를 만들었고 그 후에 10진 카운터 3개를 연결하고 가운데 카운터에 0110일 때 AND gate의 결과가
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • 중앙대학교 아날로그및디지털회로설계실습 설계실습 12. Stopwatch 설계 A+ 예비보고서
    실습목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는 ... 회로를 설계할 수 있는 능력을 배양한다. 12-2. ... gate 74HC02 : 3개 AND gate 74HC08 : 3개 OR gate 74HC32 : 3개 7-Segment : 3개 BCD Decoder 74LS47 : 3개 BCD 카운터
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 stopwatch설계 예비
    카운터 설계의 10진 비동기 카운터 설계 부분에서도 10이 아주 짧게 나왔기 때문이다.실습 11에서 10진 비동기 회로의 출력 아래 그래프가 낮은 비트10이 아주 잠깐 나오는 것을 ... 첫,두번 째 카운터에서 60분(00분)이 나왔을 때 1시간이 올라가므로, 두 번째 카운터의 값이 6이 될 경우 카운팅 되게 설계한다. ... 아날로그 및 디지털회로 설계 실습14주차 예비: Stopwatch 설계전자전기공학부20160000 하대동고릴라설계실습 방법에 나온 Stopwatch 제작 과정 중, 12-4-1 ~
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.23
  • [아날로그 및 디지털 회로 설계실습] 예비보고서12
    > 3자리 숫자 표시(시간표현) 카운터 설계12.1에서 설계한 회로를 3개(BCD 10진 카운터 3개)를 연결하여 3자리수를 표시하는 카운터 회로를 설계하였다.0101에서 reset하기 ... 목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는 회로를 ... 테스트< 4.2 > 2자리 숫자 표시 및 최대 숫자 제어4.1에서 만든 회로 2개를 연결하여 BCD 10진 카운터 2개를 사용하여 2자리 수를 표시하는 카운터 회로이다.< 4.3
    리포트 | 5페이지 | 1,500원 | 등록일 2022.09.14
  • PLL DIIVDIER 생기초 커피값으로 C라도 받아가자!
    비동기식 카운터 (리플 카운터)ㅇ 클록 펄스에 모든 플립플롭이 동기화되지 않으며 동작함- 보통, 첫번째(LSB) 플립플롭에 만 클록펄스에 동기됨ㅇ 특징- 단점 : 각 플립플롭을 통과할 ... 동기식 카운터 (병렬 카운터)ㅇ 클록 펄스에 모든 플립플롭이 동시에(병렬로)/동기화되어 동작함ㅇ 특징- 첫 째단은, 매 클럭 마다 보수(토글) 됨그 외 단은, 자신 보다 낮은 모든 ... 개념: 디지털 카운터같은 구조로 되어 있으며, 이 분주비를 복잡하게 살짝 비틀어서 PLL 구조의 출력 주파수 가변을 할 수 있게 하는 역할을 한다.목적: 이를 통해 VCO의 출력 주파수를
    리포트 | 20페이지 | 1,500원 | 등록일 2021.03.18 | 수정일 2021.04.12
  • 8장 순차논리회로 설계 및 구현(2) 예비
    목적가. 4비트 동기 카운터설계하고 구현한다.나. 4비트 레지스터를 설계하고 구현한다.다. 3비트 시프트 레지스터를 설계하고 구현한다.2. 이론가. ... 8장, 순차논리회로 설계 및 구현(2) 예비보고서1. ... [그림 8-3] 2비트 상향 카운터의 상태도 [그림 8-4] 2 비트 하향 카운터의 상태도5) 직렬 카운터와 병렬 카운터그림 8-1의 카운터 구조는 가끔 동기식 직렬 카운터라고 불리는데
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 마이크로프로세서+5주차+예비보고서 타이머와 카운터
    12019' 마이크로프로세서 실험 및 설계2019년 마이크로프로세서 실험 및 설계5주차 실험보고서타이머와 카운터 < 예비 보고서>111. ... 이제부터 타이머/카운터에 대한 설명을 하게 될 것이며 매우 많은 설정들이 있기 때문에 그 중 실험에 필요한 타이머 0에 대해서만 다루겠다.먼저 타이머/카운터는 4개가 존재하며 타이머 ... /카운터 0/2 오버플로우 인터럽트를 허용하는 역할을 하게 된다.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • [A+]중앙대 아날로그및디지털회로설계실습 과제 동기순서 논리회로 (Stopwatch 설계) (15주차)
    아날로그 및 디지털 회로설계실습15주차 동기순서 논리회로 (Stopwatch 설계) 과제05분반 20161163 박성은1.- 16진 동기 카운터 회로도- 10진 동기 카운터 회로도- ... 10진 동기 카운터 파형카운터에서 사용하는 JK Flip-Flop인 74HC73은 CLR 단자가 High일 때 정상 동작한다. 16진 카운터는 74HC73을 4개 사용한다. 10진 ... 카운터를 만들기 위해서 16진 카운터의 두 번째, 네 번째 Flip-Flop의 CLR 단자에 NAND 게이트를 추가한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.10.09
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    회로에서 0.1초 단위는 10진 카운터이므로 7490을 이용하여 10진 카운터설계하였다.ABX000010100111ABX*************)디지털 타이머타이머의 6번 threshold와 ... 설계 이론1)디지털 시계발진회로 부분에서 구형파 출력을 위하여 4020(14비트 2진 카운터)와 7404를 이용하였으며 가변저항 100K옴을 조절하여 발진 주파수를 변화시켰다. ... 대한 이해를 바탕으로 설계에 직접 접목하여서 정해진 범위의 기판 위에 가장 효율적인 회로를 설계할 수 있는 능력을 함양하기 위함이다.2.
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 디지털 논리 회로 설계 실험 최종프로젝트 레포트 A+ 타이밍게임(회로도있음)
    (반복)”의 순서로 켜진다.>> 7개의 LED로 설계도를 만들기 위해 10진 카운터(74190)를 사용한다. up/down 인풋을 이용해 1일 때 업 7일 때 다운을 걸어준다.(2) ... 설계 방법1) 10진 카운터 74190를 사용해 LED 불 들어오는 순서1-2-3-4-5-6-7-6-5-4-3-2-1을 구현1번 스위치 위(CLK) / 2번 스위치 아래(RESET) ... 디지털 논리 회로 설계-타이밍게임_20180619000 교수님000 조교님금요일 2,3교시B000000 000-목차-(1) 설계 아이디어(2) 설계 방법(3) 전체 회로도(4) 평가1
    리포트 | 11페이지 | 2,000원 | 등록일 2021.07.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:43 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대