• 통큰쿠폰이벤트-통합
  • 통합검색(360)
  • 리포트(341)
  • 자기소개서(18)
  • 시험자료(1)

"quartus" 검색결과 141-160 / 360건

  • HBE-COMBOⅡ 를 이용한 주유기기 구현
    과제 범위- Altera Quartus Ⅱ를 사용한 VHDL 디지털 논리회로 설계4.
    리포트 | 17페이지 | 3,000원 | 등록일 2010.11.28
  • 3주차 Xor Gate
    Quartus ll 툴을 이용하여 Not게이트를 만들었으며, Input값을 0과 1로 넣어줬을 때 결과 값이 달라지는 것을 위의 사진을 통해 볼 수 있다.실험고찰1. 1) testbench ... 따라 아웃풋 값이 변함을 볼 수 있으며, 실제로 이 실험에서는 not 게이트를 만들었기 때문에 아웃풋 값이 인풋 값과 반대로 나오는 것을 볼 수 있다.2번.1)회로도수정책의 회로를 Quartus ... Initialize InputsX = 1;#60 X =0;#15 X =1;#15 X =0;#60 X =1;#15 X =0;#15 X =1;endendmodule 로 수정.2)wave 창 캡쳐Quartus
    리포트 | 4페이지 | 1,000원 | 등록일 2014.10.12
  • VHDL을 이용한 신호등 설계 프로젝트
    Quartus에서 표현한 회로 구성도7. VHDL을 이용한 코딩 & 분석-코딩분석은 의 Process를 바탕으로 설명하겠습니다.Process 1.
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • FPGA를 이용한 DC-DC 부스트 컨버터 PID 제어 설계 및 실험(verilog 코드 포함)
    - FPGA를 이용하여 전력전자 공학에서 배우는 DC - DC 부스트 컨버터 설계 및 PID 제어 실험- 전체적인 회로도 및 QUARTUS II 를 이용한 PID 제어 사용법 포함( ... PDF 및 동영상)FPGA.zip 자료 내용- FPGA.zip
    리포트 | 3,000원 | 등록일 2014.11.30 | 수정일 2020.03.15
  • VHDL을 이용하여 자동차 구현하기
    VHDL을 이용하여 자동차 계기판을 구현했습니다실제 자동차처럼 연료, 속도, 안전벨트 착용 유무, 시동 확인, 스마트키 出入 등을 표현해주는 것을 LED, PIEZO, 7-Segment, Dot Matrix, TFT LCD를 이용하여 구현하였고 버튼 스위치, 딥스위치를..
    리포트 | 22페이지 | 4,000원 | 등록일 2012.05.15
  • 디지털논리회로실험(Verilog HDL) - Adders
    Create a new Quartus Ⅱ project for your BCD adder.
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • FPGA를 이용한 디지털 시계
    • VHDL을 이용하여 실생활에 많이 이용되는 디지털 시계를 구현하여 Clock의 활용을 익혀 VHDL 응용 능력을 기른다. • 입력장치 Switch와 출력장치 FND 7-Segment 제어를 익히고 특성을 이해한다. • FPGA를 통해 도트 매트..
    리포트 | 1페이지 | 1,000원 | 등록일 2011.12.22
  • 논리회로실험 9주차 결과보고서
    Register의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 구현하고, Modelsim 값과 DE2-115에서의 동작을 확인한다.? ... Ring Counter의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 구현하고, Modelsim 값과 DE2-115에서의 동작을 확인한다.? ... Register의 Quartus Ⅱ Schematic을 이용한 구현N비트의 Register는 Flip Flop n개로 구성되며, 2진 정보 n비트를 저장할 수 있다.?
    리포트 | 6페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • FPGA 디지털 시스템 설계 : 볼링 점수 계산기 프로젝트
    볼링 점수를 계산하는 구조를 간단하게 나타내면 위와 같다. 먼저, LCD를 제어하기 위해서 40MHz pixel clock이 필요하므로, ALTPLL을 이용하여 pixel clock CLK_40을 생성한다....중략...SW1을 누르면 볼링 공을 던지는 것과 같다. S..
    리포트 | 3페이지 | 2,000원 | 등록일 2012.06.18
  • 논리회로실험) 부울대수의 간소화(2) 예비보고서
    wave* 실험 과정- 본 실험은 부울 대수 식을 Quartus II Verilog HDL을 이용하여 구현하고, 두 식의 결과 값이 일치 하는지 ModelSim 과 Quartus ... .- Verilog HDL code로 Quartus II를 이용하여 합성하고 Programming 하는 방법을 이해 한다.2. 기본 실험 이론1) Verilog HDL 이란 ?? ... II & ModelSim program1) Quartus II : Program design & digital simulation2) ModelSim : Program simulation
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • 신호등 설계
    6조♥“우리에게 필요한 보행자 신호등”6조♥목 차1. 설 계 내 용 2.소 스 분 석 3. Block Diagram 4. Trade Off 4. 결 과6조♥설 계 내 용설계 주제에 맞도록 7-segment, LED, Text-LCD, Piezo, TFT-LCD, 스텝모..
    리포트 | 15페이지 | 2,000원 | 등록일 2015.01.22
  • [Ayeun]컴퓨터구조 계산기 설계 보고서
    컴퓨터구조 보고서제목계산기 설계 보고서학과전자공학과학번성명제출일2018. 05. 25소요시간5시간#계산기 회로 완성 및 Timing simulation과 각 부분 동작 설명가감산기 회로는 제어신호에 따라 덧셈을 수행하거나 뺄셈을 수행하는 회로를 말한다.덧셈 - 회로에서..
    리포트 | 8페이지 | 3,000원 | 등록일 2018.12.21
  • Latch Flipflop 결과레포트
    D latch의 회로를 구현하기 위해 Quartus II 을 이용하여 회로를 구현하고 ModelSim 값과 DE2 - 115에서의 동작을 확인한다.1 ) Quartus II을 실행하고 ... D Flipflop의 회로를 구현하기 위해 Quartus II를 이용하여 회로를 구현하고, ModelSim값과 DE2-115에서의 동작을 확인한다.1 ) Quartus II을 실행하고 ... 원리와 특성, 그리고 S - R Latch의 동작원리, S - R Flip Flop, T Flip Flop, J - K Flip Flop의 동작, 그 원리와 특성을 이해하기 위해 Quartus
    리포트 | 11페이지 | 2,000원 | 등록일 2014.01.06
  • 7487,7483 을 이용한 4자리 2진 감가산 회로 설계 (진보01기)
    목 적1) 진-보-0-1 기의 동작을 이해한다2) Quartus tool을 이용하여 4자리 2진수의 가감산회로를 설계한다. 3. ... 명 제- Quartus tool을 이용하여 74H87와 74LS83 IC를 사용하여 4자리 2진수를 가산과 함께 감산 할 수 있는 회로를 설계한다.2. ... 설계 순서1) Quartus tool을 이용하여 진-보-0-1 기의 논리회로 설계2) 74H87과 74LS83 IC를 사용하여 4bit 가감산기 설계3) 가ㆍ감산기 Simulation
    리포트 | 5페이지 | 2,500원 | 등록일 2011.12.03 | 수정일 2021.04.11
  • 논리회로실험 8주차 결과보고서
    실험과정[ 실험 1 ]1.D latch의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 구현하고, Modelsim 값과 DE2-115에서의 동작을 확인한다.? ... D Flip Flop의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 구현하고, Modelsim 값과 DE2-115에서의 동작을 확인한다.? ... D latch의 Quartus Ⅱ Schematic을 이용한 구현D latch는 D(d)라는 하나의 데이터 입력을 가지고 있으며, 출력에 영향을 주는 enable 입력을 가진다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 디지털회로 실험 가위바위보 게임기
    회로실험에서 배운 디코더, 인코더 등 여러 회로를 이용하여 가위, 바위, 보 게임을 제작한다.2) 직접 설계 제작과정을 통해 하드웨어의 설계 과정을 숙지한다.3) 회로 설계프로그램(Quartus
    리포트 | 9페이지 | 1,000원 | 등록일 2013.03.17
  • 디지털실험 - 실험 5. Multiplexer 가산-감산 예비
    *예비보고서*실험주제실험 5. Multiplexer 가산-감산조13조1. 실험 이론- 목 적1) 전가산기 구성을 위해 2개의 4입력 Multiplexer 사용을 익힌다.2) 2개의 4-입력 Multiplexer를 감산기로 사용하는 것을 익힌다.- 이론1) 멀티플렉서 (..
    리포트 | 8페이지 | 1,500원 | 등록일 2017.04.02
  • [디시설] 한백전자 키트를 이용한 디지털 시스템 설계 (터치스크린) 전북대
    리포트 | 1,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • 완전한 ALU logic을 gate로 설계하라
    (Quartus로 그리기)산술 논리 시프트 장치(ALU logic)를 Gate로 그린 설계도Logic diagram (그림 4-10)4비트 산술회로 (그림 4-9)4X1 MUX (4
    리포트 | 1페이지 | 1,000원 | 등록일 2015.01.27
  • 논리회로실험) Register / Shift register 결과
    Register의 회로를 구현하기 위해 Quartus II을 이용하여 회로를 구현하고, ModelSim 값과 DE2-115 에서의 동작을 확인하여 결과를 검증한다.1 ) Quartus ... Ring counter의 회로를 구현하기 위해 Quartus II을 이용하여 회로를 구현하고, ModelSim 값과 DE2-115 에서의 동작을 확인하여 결과를 검증한다.1 ) Quartus ... 실험 과정- 본 실험의 목적은 Register와 shift register, ring counter를 이론적으로 먼저 이해하고, 그것을 Quartus II을 이용하여 회로를 구현하고
    리포트 | 11페이지 | 2,000원 | 등록일 2014.01.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:40 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대