• 통큰쿠폰이벤트-통합
  • 통합검색(772)
  • 리포트(744)
  • 시험자료(18)
  • 자기소개서(6)
  • 방송통신대(4)

"4 bit adder" 검색결과 161-180 / 772건

  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서3
    이와 같이 세 비트의 덧셈을 집행하는 회로를 전가산기(Full adder, FA)라 하고, 캐리를 생각하지 않고 두 비트만을 더하는 회로를 반가산기(half adder, HA)라 한다 ... .- 전가산기 (Full adder): 컴퓨터 내부에서 여러 비트로 된 두 수를 더할 때에는 두 비트에서 더해진 결과인 캐리는 더 높은 자리의 두 비트의 덧셈에 추가되어 더해진다. ... 그 때 여러 가지 산술 연산을 만나게 되는데, 그 중 가 장 기본적인 산술연산은 두 비트의 덧셈연산이다. 이러한 간단한 덧셈은 4가지 가능한 기본 연산들로 구성된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • 울산대학교 디지털시스템 기말고사
    D flip flop을 사용해서 설계하시오.3. 8 bit shift right register를 D flop flop을 이용하여 설계하시오.4. half adder와 full adder를 ... 설계하고 이를 이용하여 4 bit BCD addder를 설계하시오.Test1. 2(0010)+8(1000)=10(0000),(C=1) Test2. 9(1001)+9(1001)+C= ... 모듈로 15 upcounter 및 downcounter를 T flip flop을 사용해서 설계하시오.UP의 0,1로 UP카운터와DOWN카운터로 컨트롤2. 8 bit Johnson counter를
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.12.27
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    아래 사진은 진리표의 순서와 동일하다.)Results of Lab 4.SkipResults of Lab 5.Single-bit Full Adder 로직 설계Demo inputA : ... half Adder에서 A, B를 더해서 S와 Carry를 출력하는 것을 볼 수 있었다.3) 실습 3Single-bit Full Adder에서 A, B, Cout의 입력을 받고, ... of Lab 3.Single-bit Full Adder 로직 설계- 진리표ABCinCoutS0000000101010010111010001101101101011111- 실험 결과 (
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 서울시립대학교 전전설2 1주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    개수를 2진수의 형태로 바꾸어 출력해주는 것이다.이를 활용하면 밑의 4-bit adder와 같이 큰 비트의 연산도 가능하다. 1-bit full adder 4-bit full adder ... 불가능하다. 1-bit half adder HYPERLINK \l "주석6"[6](6) 전가산기반가산기의 형태에서 입력이 한 개 더 추가된 형태이다.이 모델은 간단하게 3개의 입력의 ... HYPERLINK \l "주석7"[7]ABCinSumCout0*************001101100101010111001111111-bit full adder 진리표(7) 조합
    리포트 | 14페이지 | 무료 | 등록일 2020.07.22 | 수정일 2020.09.15
  • VHDL-1-가산기,감산기
    in std_logic;add_sum : out std_logic_vector(4 downto 0));end adder_substractor_4bit;architecture sample ... of adder_substractor_4bit iscomponent fulladder_hdl isport (fa : in std_logic;fb : in std_logic;fcin ... 필요하므로 7~0 총 8개의 비트를 할당했다.--1을 넣으면 감산기 역할을 하는 회로를 만들 수 있다.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    .-1-bitFullAdder와 Half Adder의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.-4-bit Adder를 Verilog HDL을 ... 실험 장비-digilent nexys4 FPGA board-development environment (Altera, Xilinx)-Vivado Design Suite 2014.4-
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • VLSI설계및실험Practice4
    실험제목1. 2-stage pipelined 22-bit Ripple Carry Adder2. 2-stage pipelined 20-bit Carry Select Adder3. ... 또한 여기서 RCA를 지난주 실험의 Non-pipelined RCA와 비교하면 Delay가 4.62 vs 7.95로 대략 반 정도의 delay 감소가 있음을 알 수 있고SRCSA의 ... Bonus Experiment 2-stage pipelined 22bit Square Root Carry Select AdderRCA와 CSA를 비교하면 CSA가 더 빠른 연산속도를
    리포트 | 11페이지 | 1,000원 | 등록일 2020.07.29 | 수정일 2021.10.27
  • 서울대학교 일반대학원 전기정보공학부 연구계획서
    OOO 관련 전공 연구 경험을 살려서 취업하는 것이 목표입니다.4. ... 셀을 사용한 회로 최적화 연구, 테스트 가능성 향상을 위한 디지털 시스템의 단계적 개선 합성 연구, 고속 회로를 위한 최적의 비트 수준 산술 최적화 연구 등을 하고 싶습니다.저는 ... 경로 합성을 위한 통합 알고리즘 연구, 레지스터 할당 - 계층적 축소 접근법 연구, 집적 회로 및 시스템의 컴퓨터 지원 설계에 관한 IEEE 트랜잭션 연구, Carry-Save-Adder
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.04.16
  • [아날로그 및 디지털 회로 설계실습] 예비보고서9
    아날로그 및 디지털 회로설계실습(실습9 예비보고서)소속전자전기공학부담당교수수업 시간학번성명예비 보고서설계실습 9. 4-bit Adder 회로 설계 ( 부울 대수 및 조합논리회로 )실습날짜2021.11.15 ... (E) 설계한 회로중 하나를 선택하여 2-bit 가산기 회로를 설계한다.1bit adder 2개를 이어붙인 형태로 회로를 구성해보았다.
    리포트 | 6페이지 | 1,500원 | 등록일 2022.09.14
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    반가산기(Half Adder)1비트의 이진수로 표시된 두 수를 합하여 그 결과를 출력하는 가산기를 반가산기라고 한다. ... (A,B) 입력과 1개의 4비트 출력(F)을 가지고 있다. ... 또한 4비트 기능선택 입력과 1비트 모드 선택 단자(M)가 있으며,각 비트의 조합으로 원하는 산술 및 논리연산을 수행할 수 있다. 74X181의 기능을 표 6-3에 나타내었다.3)74181ALU의
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 논리회로설계실험_반가산기/전가산기 결과레포트
    덧셈이 성공적으로 이루어지는 것을 확인할 수 있었다.- 실험 3. 8비트 병렬 가산기를 설계하시오.1) Schematic Design전가산기를 모듈화 하여 만든 4bit adder실습자료의 ... 4bit adder 두 개를 합하여 만든 8bit 병렬 가산기와 그 모듈화한 과정을 나타낸 것이다.2) 테스트 벤치 코드signal c_in과 A, B에 각각 초기값을 설정해주었다.테스트벤치에서는 ... 여기서 사용된 것은 이름결합방식으로 형식이름(4bit에서) => 실제이름(8bit에서)으로 연결하였다. 4bit가산기를 만들때나, 8bit가산기를 만들 때나 이름은 동일하게 하였으므로
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • VHDL코드를 이용한 4비트 감가산기 구현
    VHDL code--4bit subadder--library ieee;use ieee.std_logic_1164.all;package mydata issubtype adder_range ... 온 시키는 해당 위치의 값에 ‘1’을 대입하여 0000(2)에서 1111(2)까지 값을 입력②왼쪽의 4비트를 a, 오른쪽의 4비트를 b 로 지정하여 sw라는 3단 스위치가 1단일 때는 ... 디지털 시스템 Term project 포트 폴리오설계 과제명Digicom V3.32와 quartusII를 이용한 4비트 감가산기 구현과목명디지털 시스템담당교수ooo 교수님기간-설계
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 디코더, mux, Comparator, 4비트 감가산기
    제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. ... (출처 - [네이버 지식백과] 비교 회로 [comparator, 比較回路] (전자용어사전, 1995. 3. 1., 성안당))4)4bit-adder-subtractor(출처 -http ... bit-binary-a)
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    full adder1bit full adder pin설정5. ... 회로도는 1-bit full adder의 logic diagram이다. ... (입력: BUS SW, 출력: LED1~4)(1) Verilog HDL와 simulation비트연산자를 통한 4bit_x실제 핀LED 12LED 11LED 10LED 9[실습6]:
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • FPGA [ 연산자 & 순차처리문 & 병행처리문]
    실습 [a + b = y_out]adder2016265060의 코드는 덧셈 연산자를 이용하여 만든 코드이다. 4bit unsinged adding을 위해서 use ieee.std_logic_unsigned.all을 ... 즉 a4와 b4의 값은 ‘0’으로 하여 4비트가 되도록 하였으며 a와 b가 덧셈을 하여 캐리 가 발생할 경우가 있으므로 5비트로 하였다. ... 입력값 a 와 b가 4 downto 0(=5bit)인데 a 와 b 입력파형은 0부터 15(=0000~1111)값만 입력하였다.
    리포트 | 12페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 예비보고서(7 가산기)
    구성한 전가산기가 병렬 가산기(parallel adder)이다. 4비트 병렬 가산기의 개념도를 보인 것이다. ... 이러한 단점을 보완할 수 있는 가산기로는 캐리 룩어헤드 가산기가 있다.(4) 직렬 가산기직렬 가산기(serial adder)는 전가산기 하나만을 이용하여 N비트의 가산을 할 수 있는 ... 그림 8에는 비트 4비트 ALU 74181과 이에 대한 16가지의 논리연산이 도시되어 있다.그림 8(a)의 ALU에서A_3{A_2}{A_1}{A_0은 입렵 A이고B_3{B_2}B_1
    리포트 | 9페이지 | 2,000원 | 등록일 2020.10.14
  • 논리회로설계실험 BCD가산기 레포트
    리스트에는 4비트의 bcd숫자가 사용되었다.BCD의 값을 7-segment로 바꿔주는 코드이다.input으로 4bit의 수를 받는다.(6행)output으로 7bit의 수를 출력한다 ... 수 X와 Y인데 각각 X1과 X2, Y1과 Y2로 4비트씩 나눈다. 4비트 벡 터를 더하면 5비트의 합이 생성되므로 이를 각각 S0, S1에 임시로 저장한다.1-2) 4비트의 이진수 ... 즉, 4비트 10진수를 7비트 코드로 전환하는 것이다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • Verilog로 고성능의 12비트 곱하기 4비트의 multiplier를 설계 (레포트, 설계파일)
    설계목적Verilog HDL을 이용하여 고성능의 12비트 곱하기 4비트의 multiplier를 설계한다.2. ... 따라서 가장 적은 PP를 얻어내는 것과 좋은 성능의 adder를 가지는 것이 고성능 multiplier를 설계하는 데에 가장 중요한 사항이라고 볼 수 있다.
    리포트 | 2페이지 | 3,000원 | 등록일 2020.04.15
  • 충북대학교 전자공학부 4비트 산술논리회로와 시뮬레이션 결과보고서
    돌려보는 실험을 하였다. 1번 실험은 1ADDER 를 해보는 실험이었는데 타이밍도를 살펴보면 원래 알고 있는 adder와 같이 결과가 나오는걸 확인할수 있다. 2번 실험은 2X1 ... 실험 결과(1) MyCAD를 이용하여 의 (a)와 같이 1비트 전가산기를 그리고 시뮬레이션을 한 다음 (b)와 같이 심볼화 하라.(2) 의 2X1 Multiplexer를 그리고 시뮬레이션을 ... 한 다음 심볼화 하라.(3) 의 4X1 Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라.비고 및 고찰이번 실험은 실제로 하는것이 아닌 컴퓨터로 회로를 그려 시물레이션을
    리포트 | 2페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 3주차 예비+결과(코드포함) Verilog HDL
    아래와 같은 코드로 primitive modeling을 통해 1-bit full adder를 구현하였다. ... 시뮬레이션 입력에 대한 DUT의 반응(response)을 관찰한다.다.Simulation1.1-bit Full Adder with primitive modeling method우선
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:07 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대