• 통큰쿠폰이벤트-통합
  • 통합검색(708)
  • 리포트(683)
  • 시험자료(16)
  • 자기소개서(5)
  • 방송통신대(4)

"4-bit Adder" 검색결과 161-180 / 708건

  • 아날로그및디지털회로설계실습 래치와플립플롭
    아날로그 및 디지털회로 설계실습예비 REPORT9. 4-bit Adder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 순차식 논리회로의 기본 소자인 래치와 플립플롭의 ... 기존의 학습했던 내용을 토대로 실습을 하면서 이해도가 높아졌습니다.4. 참고문헌- 아날로그 및 디지털회로 설계실습 교재 ... 실험결과1-3.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.15
  • BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    더해준 후에 생긴 캐리는 다음 4비트 그룹에 더해준다.여기서 중요한 것은 4-비트 그룹으로 쪼개서 각각의 경우에 대해 위의 규칙을 대입해야 한다는 것이다.3. ... BCD(Binary-Coded-Decimal code) 구현 방법BCD 코드는 10진수의 각 비트를 4비트의 2진수로 나타낸다.1010, 1011, 1100, 1101, 1110과 ... BCD 가산법(BCD adder)?
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • [아주대학교 A+] 논리회로 기말고사 족보
    다음의 논리함수를 2-to-1 (2-input 1-bit output) multiplexers 만을 최소로 사용하여 설계하시오. ... 아래의 full adder를 active-low 출력을 가진 3-to-8 decoder 1개와 2개의 NAND gates 만을 이용하여 설계하시오. ... 단 입력 S의 값은 변화 없다. [10점]4.
    시험자료 | 1페이지 | 2,000원 | 등록일 2023.07.04 | 수정일 2024.01.24
  • 논리회로 족보
    fb'c[5] (10점) 1-bit 2진수를 더하는 adder(덧셈기; full adder)의 진리표를 구하고,출력s와c_o의 식을 구하시오. ... 0 111c) 2‘s complement로 표현된 4-bit 수 1100은 양수 누구의 보수(음수)인가? ... (각 4점)a) 십진수 105를 2진수로 변환하시오. 110 1001b) 4-bit 2진수에서 2‘s complement(보수) ’1101 + 1010‘의 덧셈을 하고, overflow
    시험자료 | 4페이지 | 1,500원 | 등록일 2020.11.03
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    0101).- 비트 단위 연산자- gate primitive modeling- behavioral modeling[응용 과제]다음의 1-bit full adder 회로를 gate ... 여기서 LSB x는 unknown bit이다. ‘01x’로 표현된다.- 4’h4 : hexadecimal(16진수) 4가 4bit로 표현된다. ... [응용과제] Gate primitive 방식을 통한 1-bit full adder 설계위 테스트 파일은 for문을 통해 입력값에 주기적인 변화를 줬다. integer k가 10ns마다
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • 중앙대 아날로그및디지털회로설계실습 예비보고서 9장 4bit adder 회로설계
    아날로그 및 디지털회로설계 실습 예비보고서[설계실습 9. 4-bit Adder 회로 설계]소속담당교수담당조교수업시간학번성명? ... `피가수(augend)#+1`1`1`1``````..가수(addend)#-----#```````1`1`0`1`0``..합(S`um)`````- 최하위 비트를 더할 때 자리올림수가 없기 ... 가산기 회로를 설계한다.: 위에서 설계한 두 개의 회로를 연결하여 2Bit 가산기 회로를 설계하면 다음과 같습니다.위의 두 회로를 이용한 2Bit 가산기- XOR gate를 이용한
    리포트 | 6페이지 | 1,000원 | 등록일 2023.04.06
  • 부경대 디지털 회로 3장 과제
    예제 3-24. 4-비트 리플 캐리 가산기의 계층적 VHDL-- 4-bit Adder: Hierarchical Dataflow/Structural-- (See Figures 3-42 ... : in std_logic;s, c : out std_logic);end half_adder;architecture dataflow_3 of half_adder isbegins 연습문제 ... and 3-43 for logic diagrams)library ieee;use ieee.std_logic_1164.all;entity half_adder isport (x, y
    시험자료 | 13페이지 | 4,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 2023상반기 DN솔루션즈 최종합격 자소서(+면접후기)
    하드웨어 설계 시 일어날 수 있는 timing 이슈에 대한 문제해결 역량을 길렀습니다.2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다 ... 23상반기 최종합격 자소서+ PT면접, 임원면접후기DN솔루션즈R&D – 전기/전자DN솔루션즈 R&D-전기/전자(최종합격)DN솔루션즈에 지원한 이유와 입사 후 회사에서 이루고 싶은 꿈을 ... Full adder, Register, ALU 등의 단위블록을 조합하는 과정에서 데이터 흐름에 대한 이해를 높였습니다.3) 아날로그 회로실험 A+R, L, C 수동소자 및 MOSFET
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.07.12 | 수정일 2023.08.26
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서8
    이 때 나는 결과보고서에 4-bit adder의 오버플로우를 방지하기 위해 4 같다. ... 실험소자는 5-bit Shift Register IC이다. 5개의 R-S 플립플롭 중 Preset 입력이 들어왔을 때, 동시에 클럭 입력을 받아 데이터가 다음 플립플롭으로 이동시키는 ... 왼쪽에서 오른쪽으로 이동하므로 shift-right 레지스터이값 Q0,와 Q1이 High 값을 가지고 클럭을 인가할 때마다 데이터 bit값이 1씩 Shift되었다.?
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 충북대학교 전자공학부 4비트 산술논리회로와 시뮬레이션 예비보고서
    ◆ 목 적(1) ALU(Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를 이용하여 4비트 ALU를 설계하고 시뮬레이션한다 ... 먼저 S1과 S0의 값에 따라 MUX에 의해 출력 Y(B,bar{B}, 0, 1)의 값이 결정되고, ADDER에 의해 출력 D(Cin+A+Y)가 결정된다.선택 단자입력출력동작S1S0CinYD ... Subtract011bar{B}B = A +bar{B} + 1Subtract with borrow1000D = ATransfer A1010D = A + 1Increament A1101D = A -
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 전가산기에 의한 덧셈의 원리
    가산기의 종류에는 반가산기와 전가산기가 있다.2) 전가산기(Full adder)전가산기란 자리 올림 수를 포함하여 세 비트의 합을 계산하는 회로를 말한다.2. ... 전가산기란 무엇인가1) 가산기(adder)가산기란, 두 개 이상의 입력을 통해 이들의 합을 출력하는 조합 논리회로를 뜻한다. ... c가 0이면 ‘자리 올림이 없다’는 것을 의미한다. 1+1, 1+0, 0+1, 0+0과 같이 한 자리의 숫자끼리 더한 경우에는 뒷자리로부터의 자리 올림이 없고, 따라서 c가 0이다.4)
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.26
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    그 위 3 bit가 3to8 Decoder에서 D 신호가 되어 나온다. ( D0~D7 )가장 상위 bit는 주소 모드를 나타내는 I bit가 나온다.명령어를 수행할 타이밍은 4-bit ... 74244 8-bit 버퍼를 사용하여 각 레지스터들이 버스를 점유하는 것을 선택하게 설계했다. ... 들어갈 수 있으며 AC 레지스터로 들어가기 전에 ADDER AND LOGIC을 거쳐 들어가게 된다.DR 제어를 위해 사용한 명령어 제어식을 찾아 정리하면 다음과 같다.DR_LD :
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 전공영어 레포트
    Flip-Flop 플립플롭A flip-flop is a binary cell capable of storing one bits of information.플립플롭은 1비트의 정보를 ... , BCD code, binary cell, Boolean algebra, NAND, OR, exclusive-NOR, help-subtractor, half-adder, full-adder1 ... 하나는 일반 값 다른 하나는 그 비트의 보수 값을 저장한다.A flip-flop maintains a binary state until directed by a clock pulse
    리포트 | 36페이지 | 3,000원 | 등록일 2021.05.16
  • 기초 Risc v 설계 코드와 검증 코드( RiscV 기계어 코드 파일 만는는 타스크 함수 포함)
    _o,adder2_o;wire [31:0] pc_o,pc_out;wire [31:0] instr;wire [32:0] imme_o;wire [4:0] rindex1,rindex2,windex ... 동작(ADD,SUB,SW,LW,BEQ,SET)을 확인하기 위한 RTL 논리 회로 설계의 소스 코드와 이의 인스트럭션 동작확인을 위한 테스트벤치입니다.설계를 의하여 Risc V 32bit ... ;wire [4:0] raddr1,raddr2,waddr;wire [31:0] wdata,rdata1,rdata2;wire [1:0] LSctrl;wire RegWrite;wire
    리포트 | 49페이지 | 10,000원 | 등록일 2021.11.05
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    S2, S1, S0}의 4bit 입력에 따라 4bit의 연산 결과를 출력하는 회로를 구현한다.3. ... - Adder, Logic Unit, 그리고 2-to-1 MUX로 구성된다.- 연산 수행 제어를 위해서 {Cin, S2, S1, S0}의 제어 정보가 입력된다.A-1 Arithmetic ... 각각의 Register는 4bit의 값을 저장하도록 구현한다.- Clock, Destination Address, Destination Data, Write, A Address, B
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 디집적, 디지털집적회로설계 실습과제 9주차 인하대
    결과적으로 - 이 0에 가장 가까울 때 최적화된 P/N ratio는 2.5533이라는 것을 알 수 있다.1-bit Full Adder의 Delay, Power그림 15는 1-bit ... 첫번째 rise인 300ns근처에서 이 측정되었다.그림 4는 NAND gate의 power를 측정하는 코드이다. ... 그림17의 코드는 다른 기본 gate subcircuit은 캡처하지 않고 half adder부터 캡처했다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    우선 아래와 같이 Karnaugh map을 통해 3-bit짜리 신호를 8-bit으로 변환하였다.D(7) = D(6) = D(5) = D(4) = D(3) = D(2) = result ... (a),(b)up_rolling 모듈부터 살펴보자. up-scrolling 기능은 adder를 통해 구현할 수 있었다. ... Idle 상태이기에, 4-digit display는 ‘--
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • ALU 8bit 설계 베릴로그
    덧셈, 뺄셈, INC연산을 할 땐 오버플로우를 검출 해야하므로 저번 실험에 사용했던 8bit adder/subtractor 실험에 사용했던 코드를 다시 사용하도록함. ... 디지털시스템설계 #4 Report2018. 5. 17 제출mode값에 따라서 다양한 행위를 함. ... 이제 full adder모듈을 순서에 의한 할당을 할 것이므로module fa ( A ,B ,Cin ,Cout ,S ) 변수들의 순서를 잘 기억해둠.adder - subtractor
    리포트 | 36페이지 | 2,500원 | 등록일 2021.04.09
  • 2019. 2 CMOS소자공학 LAYOUT설계
    Half Adder의 구성Xor gate와 And gate 하나씩 사용되며 동시에 입력하고, 출력은 Carry가 발생할 때(둘 다 2인 경우, and gate 사용) 다음 비트인 ‘ ... 설계과정- Half Adder가 어떤 회로로 구성되어있는지 먼저 파악한다.- 그 후, Half Adder에 있는 gate를 분석한다. ... Carry -> 바뀌는 부분이 없으므로 NO DELAY라. 4번 - A: 1, B: 1, S: 0, C: 1인 경우1) A, B ?
    리포트 | 7페이지 | 8,000원 | 등록일 2021.01.26
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    0101).- 비트 단위 연산자- gate primitive modeling- behavioral modeling[응용 과제]다음의 1-bit full adder 회로를 gate ... 여기서 LSB x는 unknown bit이다. ‘01x’로 표현된다.- 4’h4 : hexadecimal(16진수) 4가 4bit로 표현된다. ... 보조자료 Verilog-HDL 문법 pdf 자료를 읽으시오.3. verilog에서 다음의 constant의 의미와 실제로 비트로 표현했을 때 어떻게 나타나는지 조사하시오.- 4’b1001
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대