• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(419)
  • 리포트(373)
  • 자기소개서(19)
  • 시험자료(17)
  • 논문(10)

"FSM" 검색결과 161-180 / 419건

  • [기초전자회로실험2] "MOORE & MEALY MACHINE - FPGA" 예비보고서
    Hacker owns a pet robotic snail with an FSM brain. ... Design the FSM to compute when the snail should smile.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.27 | 수정일 2019.03.29
  • [검증된 코드 & 복사가능, 학점A+] 전전설2 7.Sequential-2 - 예비+결과+성적인증 (서울시립대)
    Non-blocking assignment가 연산이 동시에 진행되어 사실상 1clk 전의 상태를 이용한 연산이라는 점을 활용한다. Asynchronous input을 synchronous inverting하면 연산이 진행되기까지 시간차가 생기는데, 이를 non-bloc..
    리포트 | 11페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.07.12
  • [디지털논리회로실험]텀프로젝트 - Finite state machine과 counter를 이용한 메시지 전송기 설계(FPGA)
    배경이론1) FSM(FInite State Machine)-FSM은 유한한 개수(finite)의 상태(state)를 가지며 각 상태에 따라 다른 동작을 하는 프로그램 디자인이다. ... 회로의 작동순서(FSM)2. 설계 전체 회로3. 사용 세부 회로 ... FSM은 실행 시점에서 현재 상태에 기반해 프로그램의 다음 상태를 정한다. 2) Counter-반복해서 일어나는 현상의 수를 세는 장치이다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.06 | 수정일 2014.06.29
  • [논리회로설계실험]커피자판기설계
    ;architecture fsm_arc of fsm istype state_type is (ST0,ST1,ST2); -- 상태 정보를 저장할 변수들의 선언signal state : ... 커피자판기(FSM 설계)1. 실험 내용1) 다음 상태도와 동작에 따라 커피자판기를 설계하시오.① 상태도② 동작 설명? 전원이 인가되고 Recet이 되면 "00"상태로 존재? ... 실험 결과1) D FlipFlop1-1) Sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity fsm isport ( clk : in std_logic
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • [Flowrian] Bingo Game 회로의 Verilog 설계 및 시뮬레이션 검증
    1. Bingo Game 회로의 사양 설계 사양 - Bingo Game 회로는 숫자 맞추기 게임을 수행하는 회로로서 사용자가 0~254 사이의 정수값을 입력하면 회로는 추측값을 제시하면서 사용자가 입력한 목표값과 비교하여 크거나 작음을 판단 하면서 다음 추측값을 제시하..
    리포트 | 22페이지 | 2,500원 | 등록일 2017.07.06
  • PSC공법과 그종류의 특징
    FSM 공법FSM (Full Staging Method, 동바리 공법) 공법FSM공법은 콘크리트를 타설하는 경간 전체에 동바리를 설치하여 타설된 콘크리트가 소정의 강도에 도달할 때까지 ... FSM 공법2. ILM 공법3. MSS 공법4. FCM 공법5. PSM 공법1.PSC 공법의 특징1-1. PSC 공법과 RC공법의 비교1). ... ▶FSM 공법의 대표적인 예강동대교 - 88년 3월에 착공하여 91년 12월에 준공 되었다.길이 1,126m 너비 26.72m 이다.
    리포트 | 15페이지 | 3,000원 | 등록일 2013.09.02
  • MSS.ppt
    PC Box Girder 교의 가설공법 현장타설방식 지보공에 의한 가설 지보공이 없는 방식 FSM(Full Staging Method) MSS(Movable Scaffolding System
    리포트 | 36페이지 | 2,000원 | 등록일 2014.10.22
  • 10-논리회로설계실험-예비보고서
    순차회로 설계_FSM1. 실험 목표순차회로의 응용인 FSM(Finite State Machine), 밀리머신, 무어머신의 개념을 이해하고 이를 실생활에 응용해 볼 수 있다. ... 예비 이론(1) FSM(Finite State machine)- 기본적인 순차회로를 과거의 출력, 현재 입력, 현재 상태 등으로 다음 상태를 결정하는 장치이다. ... 과 목 : 논리회로설계실험과 제 명 : #10 순차회로 설계_FSM (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 :
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • finite state machine design(결과)
    Experiment Result(1) FSM(figure 11-3)① Waveform② Delay Matrix③ Verilog Codemodule fsm_state (clk, in, ... beginstate=state3;out=0;endstate4 :beginstate=state0;out=0;endendcaseendendendmodule※ ConclusionThis FSM ... Another example is keyboard or volume button or switch.② Optimize the FSM in Figure 11-3 by designing
    리포트 | 14페이지 | 1,000원 | 등록일 2011.07.09
  • RDT 3.0 설계 및 구현
    상세 설계( Sendr & Receiver FSM 및 Event State Table )■ Sender & Receiver FSM■ Event State Table4. ... Sender 및 Receiver FSM 설계? sequence number를 저장하는 variable 'seq'를 도입하여 state 갯수를 교과서의 절반으로 줄여보자. ... Sender 및 Receiver FSM 설계 sequence number를 저장하는 variable 'seq'를 도입하여 state 갯수를 교과서의 절반으로 줄여보자.
    리포트 | 14페이지 | 1,000원 | 등록일 2011.11.13
  • 디지털논리회로실험(Verilog HDL) - Real-time clock, counter
    Ex : Highway speed measurement system-Two sensors “a” and “b” in road-Use FSM to detect “a” becoming
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07_Post
    Sequential Logic Design, FSM and Clocked Counter실험 날짜2016. 10. 31학번이름Professor조교Expected Results4-bit ... Vending Machine을 programming하여 정상 동작을 확인하였다.Reference교안 – Verilog HDL 실습 Lab#07 Sequential Logic Design, FSM
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • vending machine(자판기)
    FSM을 이용하여 설계했습니다.코드를 작성할 때, 그 어떤 인터넷 사이트나 책을 참고하지 않고 처음부터 끝까지 직접 타이핑했습니다.자판기의 특성상, 사용자의 조작에 의해 자판기가 작동되어야
    리포트 | 13페이지 | 3,500원 | 등록일 2014.06.28 | 수정일 2014.07.03
  • #10 디지털실험 예비
    동작기술책의 FSM state diagram대로 0이 네 번 입력되거나 1이 네 번 입력되면 LEDG에 불이 켜지게 된다. ... 코드의 상태변화는 책에 나온 FSM state diagram을 보고 만들었고, reset이 들어오면 y_Q를 A로 초기화 해주었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 논리회로실험 - 제 7장 3비트 updown counter로 binary와 gray 코드로 설계 결과보고서
    FSM의 출력과 다음 state는 현재 state와 출력에 의해서 결정된다.2)Mealy machine-밀리 머신은 클럭의 발생과 상관없이 출력이 즉시 반영된다.3)Moore machine-밀리
    리포트 | 20페이지 | 1,000원 | 등록일 2014.08.15
  • 인하대 VLSI simple microprocess of design 레포트
    Controller은 control FSM, ALU 복호기, 그리고 PCEn을 계산하기 위한 두 개의 게이트로 구성된다. ... 이 정보는 셀 라이브러리 레이아웃으로부터 얻어진다.배열(Arrays)다음은 control FSM을 위한 PLA를 나타낸다. PLA는 어떤 함수도 곱의 합 형태로 나타낸다.
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.22 | 수정일 2020.08.19
  • FPGA 디지털 시스템 설계 : 신호등 제어기 설계
    FSM은 sequential logic과 combinational logic으로 나뉘는데, sequential logic은 F/F로 구성되기 때문에 클럭 신호가 필요하다.
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • 자동판매기 결과보고서
    -TTL을 이용한 FSM(Finite State Machine)을 구현한다.- 기본 사양 및 회로 구현- 기본 설계사양- 사용 가능한 동전은 50원, 100원짜리 2가지.- 커피 값은
    리포트 | 4페이지 | 1,000원 | 등록일 2017.11.29
  • 디지털직접회로설계-에어컨설계(airconditioner)
    We lacked the knowledge about Verilog HDL and FSM.
    리포트 | 29페이지 | 3,000원 | 등록일 2013.10.14
  • 간경화 케이스 스터디, LC case study, liver cirrhosis, 간호과정 포함. 성인간호 실습
    . ■ Ascites control - Diuretics : SPR 12.5mg → SPR 50mg + FSM 20mg → SPR 50mg + FSM 40mg → SPR 50mg + ... FSM 20mg → FSM 20mg - Last Ascites tapping 2017-09-18 - Tapping : 3.25 L * Ascities study : WBC Count
    리포트 | 31페이지 | 2,500원 | 등록일 2018.03.29
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:10 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대