• 통큰쿠폰이벤트-통합
  • 통합검색(746)
  • 리포트(709)
  • 시험자료(25)
  • 논문(6)
  • 자기소개서(3)
  • 서식(1)
  • 방송통신대(1)
  • 이력서(1)

"signal settings" 검색결과 161-180 / 746건

  • 통신실험 예비 7
    Using the oscilloscope, observe the (L + R), (L - R) and the PILOT signal, by setting the corresponding ... set to the CAL position.4. ... Figure 7-11 shows the complete spectrum of the composite baseband signal you should obtain.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.12.05 | 수정일 2014.12.19
  • 통신실험 예비 11
    Where are the nulls located in spectrum of a PRBS signal? ... bits in the delayed PRBS signal. ... Detection of NRZ signals in noise실험 목적noise에서 NRZ signal을 탐지할 줄 알고, data transmission system에서 어떻게 에러가
    리포트 | 4페이지 | 1,000원 | 등록일 2014.12.05 | 수정일 2014.12.19
  • 생체시계 (Bio-Clock) (생체,bio clock,Bio-Clock,생체시계,일주기 리듬,일주기,생체시계 관리기관,생체시계 작용)
    (Lehman et al., 1987; Ralph and Menaker, 1988; Ralph et al., 1988, 1990).6. ... al., 1972; Castel et al., 1993; De Vries et al., 1993).2) 눈(eye)은 생체시계는 주위의 환경에 재조정(reset)되는 특징이 있다. ... SCN의 위치와 역할1) 8000~1000개의 신경세포로 이루어져 있는 좌우양쪽에 있는 뇌의 한 부분이다.2) 여기에서 나온 time signal이 뇌의 다른 기e의 작용에 의해 인체의
    리포트 | 8페이지 | 2,000원 | 등록일 2016.03.01
  • Inside Reading 1, Unit 10 Reading 2. Bionic People (구문해설)
    The signal activates a computer in the left arm that does what Jesse’s brain tells it to do. ... artificial arms/ 한 벌의 인공 팔을 가지게 되었다control/ 제어es these chest muscles, it creates a tiny electrical signal ... ,electrode/ 전극were attached to ~ / ~에 부착되었다connected to ~ / ~와 연결된tense/ 긴장을 주다[가하다]tiny electrical signal
    리포트 | 9페이지 | 1,500원 | 등록일 2015.10.16 | 수정일 2016.10.07
  • 리눅스명령어모음
    $fg %1일시중단프로세스->background프로세스bg [%jobs number]프로세스 종료kill [-signal number] process idsignal : SIGINT ... ='shutdown -h now'히스토리history환경 변수 설정export변수 설정 / 초기화set / unset옵션 : set -o optionsname 옵션켤때set +o optionsname ... 에러메세지 출력x-v 변경된 소유권 자세히 기술$chown kim sample.txtsample.txt의 소유권은kim$chown -R kim:baa /tmp/src/tmp/src
    리포트 | 3페이지 | 2,000원 | 등록일 2017.10.30
  • OS Message Passing 과제
    처리를 위한 정보를 위한 구조체struct sigaction act;sigset_t masksets;pid_t pid;// signal set 초기화sigemptyset(&masksets ... #include #include #include #include #include ... );// signal handler로 thread_switch() 등록act.sa_handler = (void*) thread_switch;act.sa_mask = masksets
    리포트 | 10페이지 | 2,000원 | 등록일 2016.03.26
  • UAD 플러그인 종류와설명
    Dyn SC : Two-position button; Moves the 215L filters into the dynamics side-chain signal path? ... Dyn SC : Two position button; moves the 550L filters into the dynamics side-chain signal path? ... DYN SC On/Off Moves the EQ to the Dynamics Side-Chain signal path?
    리포트 | 8페이지 | 1,000원 | 등록일 2015.04.24
  • Adidas Individual Paper
    Evaluating the statistics allows Adidas to: “read demand signals, re-order seascelebrities, and other ... Four different financial goals were set: achieve top-line growth significantly above industry average ... , win significant market share across key categories and markets, improve profitability sustainably,
    리포트 | 7페이지 | 10,000원 | 등록일 2018.03.24
  • Simulating the overall Amplitude Modulation (AM) Procedure
    The modulated signal and spectrum with noise1% Demodulate the signal with noise1% Coherent methodvt_ ... fc*t); % The Carrier signal in time domainfigure(1);subplot(2,1,1); plot(t,mt)% Plot of the message signal ... The modulated signal and spectrum with noise3% Demodulate the signal with noise3% Coherent mephase error2%
    리포트 | 50페이지 | 3,500원 | 등록일 2014.04.23
  • 현대사회와정보보호 과제10 A+
    For example, the date for sending the final RFP to vendors is a milestone because it signals that all ... Work breakdown structure(WBS) : A list of the tasks to be accomplished in the project, the skill sets ... Drawbacks usually include the need to deal with both systems and maintain both sets of procedures.- 직접
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.22 | 수정일 2018.10.22
  • 통신실험 결과보고서(Unit10-ex2)
    Slope setting과 message signal을 설명하시오.메시지 신호의 slope를 증가시키면 합쳐진 신호로부터 메시지 신호를 얻을 수 있는가? ... Figure 5-25 (b)처럼 Slope setting 이 두 배의 기울기로 증가하였을 때, integrated signal은 가파르게 변화하는 메시지 신호를 따라 잡을 수 있다.Figure ... signal과 비슷해 질 때까지 ramps의 slope를 감소시키기 위해서 slope knob를 반시계 방향으로 돌린다.
    리포트 | 17페이지 | 2,000원 | 등록일 2013.12.19
  • 통신실험 결과 12 Unit 11 - Baseband data transmission
    of the PRBS signal using the formula . ... What effect does this have on the delayed signal? ... the signal-to-noise ratio for each noise voltage using the formulaS/N`=`20`log(V _{s} /V _{N} ).V _{
    리포트 | 14페이지 | 1,000원 | 등록일 2014.12.05
  • 통신실험 예비 5,6
    Tune the Receiver and set its Deviation push-button to the WBFM position.7. ... Adjust the level of the modulating signal so that the modulattrong method produces a WBFM signal indirectly ... Inject a 1-kHz, 160 mV, sinusoidal signal into the AUDIO INPUT of the Indirect FM / PM Generatoonnect
    리포트 | 4페이지 | 1,000원 | 등록일 2014.12.05 | 수정일 2014.12.19
  • 아주대학교 통신실험 unit 10 결과보고서
    setting the MAX SLOPE adjustments. ... `s output signal and the delta modulation signal (the SAMPLER`s output signal) in the space provided.16 ... Return the SLOPE knob on the Delta Encoder to it`s MIN setting.
    리포트 | 6페이지 | 1,500원 | 등록일 2013.11.28
  • 아주대 통합설계프로젝트1 전파테크(이해영교수님) 예비3 homodyne (direct-conversion),heterodyne (Superheterodyne),Double conv
    The local oscillator is set to the same frequency as the desires RF signal, which is then converted directly ... Then, we again downconvert the signal to baseband with a highly selective filter at the second section ... signal to an intermediate frequency(IF) at the first sectionm in which the selectivity requirement is
    리포트 | 6페이지 | 1,000원 | 등록일 2014.10.05
  • 아주대학교 통신실험 unit 10 결과보고서
    SLOPE setting을 계속 감소시켜 integrated signal이 Figure 5-37(c)와 비슷해 지도록 하여라. ... 오실로스코프가 Figure 5-39와 비슷해질 때까지 SLOPE setting을 조절하여라.=> 교재의 그림 5-39 와 비슷한 결과를 얻었다.22. ... Delta modulation signal에서 slope overload가 일어나는 것을 확인하였나?
    리포트 | 17페이지 | 2,000원 | 등록일 2013.11.28
  • IABP 원리, 적응증 및 적용환자 간호 IABP(Intra arotic balloon pump)
    기전EKG ; 심장의 전기적 활동을 반영한다.IABP Triggering signal의 지표가 된다.- Arterial Waveform ; 심장의 기계적 활동을 반영한다.IABP의 ... 되었거나 너무 늦게 setting이 된 경우이다. timing error중 early inflation과 late deflation은 치명적인 결과를 유발 할 수 있으므로 매우 위험하다 ... 되지전P-R intervalEnd diastolicpressure3) Errors in timinginflation 이나 deflation 시기와 관련된 error는 너무 빠르게 setting
    리포트 | 7페이지 | 3,000원 | 등록일 2017.08.30
  • 통신실험 예비 3,4
    AM signals. ... How does this result compare to the value of 75% that you set in step 8?13. ... 위 그림에서 mixer로 향하는 RF input signal은 3개의 frequency components이다. mixer output signal은 주파수의 합과 차를 3가지의 RF
    리포트 | 5페이지 | 1,000원 | 등록일 2014.12.05 | 수정일 2014.12.19
  • 영어교육필수PLLT 8과 핵심
    development Using conventions of turn taking to accomplish various functions of language Turn taking : signals ... in their interactions with each other, culturally oriented sets of rules that require finely tuned perceptiossroom ... and subconscious in a native speaker that verbal language seems quite mechanical and systematic by comparison
    리포트 | 28페이지 | 2,000원 | 등록일 2017.06.29 | 수정일 2024.08.02
  • 아주대학교 통신실험 unit 12 예비보고서
    Give the full name and the function of the BTD signal.13. On the FSK Modem, set BRTS to A(High). ... Use SELECTOR 2 of the signal Interruptor/selector to display the signal at TP7(the BTD signal) on the ... Bell 202 standard에 대하여 알고, Back-channel control signal에 익숙해진다.2.
    리포트 | 17페이지 | 1,500원 | 등록일 2013.11.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대