• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(430)
  • 리포트(399)
  • 시험자료(20)
  • 자기소개서(4)
  • 방송통신대(3)
  • 서식(2)
  • 논문(1)
  • ppt테마(1)

"다운 카운터" 검색결과 1-20 / 430건

  • 베릴로그 BCD업,다운카운터
    1-digit BCD Counter 소스코드inc연산일 땐 Q가 9일땐 Q를 0으로 설정하고 아니라면 Q값을 하나 증가시켜줌.dec연산일 땐 Q가 0일땐 Q를 9로 설정하고, 아니라면 Q값을 하나 내려줌.TCup,TCdown 부분을 순차회로로 설계할 시 한 클럭이 ..
    리포트 | 14페이지 | 1,000원 | 등록일 2018.09.09
  • AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    프로젝트보고서1. 실험 목적Microprocessor 실험 및 설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATmega128 라이트 모듈로 Digital Clock, Stop Watch, Alarm, Dow..
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • [Flowrian] 8 비트 업/다운 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    Structure 형식 8 비트 업/다운 카운터 회로의 Verilog 설계 및 검증 ... 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1. 8 비트 업/다운 카운터 회로의 사양2. ... 8 비트 업/다운 카운터 회로의 동작은 Verilog 언어가 제공하는 2가지 방식, Behavior와 Structure 관점에서 전가산기의 논리동작을 모델링한다.Verilog 언어를
    리포트 | 18페이지 | 1,000원 | 등록일 2011.11.05
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 2
    다운 카운터0 1 23 4 56 7 89? 업 카운터0 1 23 4 56 7 89실험 4) 회로도 (채터링 방지 회로도)? ... 해당하는 7-세그먼트 LED 디스플레이를 제어하기 위한 7개의 출력을 생성하므로 효율적으로 7-세그먼트를 제어했다고 분석할 수 있다.- 다음 74LS192의 경우, 4비트 동기 카운터
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 논리회로실험 카운터 설계
    8비트 다운카운터의 경우 255에서부터 1씩 감소하여 0까지 될 수 있으나, 255까지의 파형을 첨부하기 난해해, 255~246까지 다운되는 경우만 첨부하였다.4. ... 다운카운터도 마찬가지로 Reset이 1일 때, 작동하게 하였고, Y의 값이 255부터 시작해서 CLK이 한번 걸릴 때 마다 1씩 감소하도록 하였고, 값이 0이 되면 다시 255의 값이 ... 그러므로 링카운터는 계단식 스위치와 유사하다고 말할 수 있다.- 오른쪽의 그림은 링카운터의 논리회로도이다.(5) 존슨 카운터- 존슨 카운터는 시프트 카운터라고도 말하며 이것은 특별한
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 결과보고서(4) Counter 카운터
    (count-up), 카운트-다운 (count-down), 십진, 리플 캐리 (ripple carry), 모듈러스 (modulus) N 카운터 등 각종 비동기식 및 동기식 카운터의 ... 실험제목 :Counter- 결과보고서[결과 및고찰]회 로 도결 과 값CLK카운터-다운 카운터카운터-업 카운터Q _{3} (8)Q _{2} (4)Q _{1} (2)Q _{0} (1)계수값Q ... 비동기식 카운트 다운 카운터, 리플 캐리 카운터, 비동기식 십진 카운터, 모듈러스 5 카운터를 구성하고 이론에 따른 예상 결과값이 잘 나와 특성을 잘 이해할 수 있었다.
    리포트 | 8페이지 | 2,000원 | 등록일 2020.10.14
  • 디지틀논리회로실험 13장 동기식카운터
    시킨다는점이 비동기식과의 차이다 .동기식 DOWN-COUNT 회로는 JK-FF 를 다루며 4 개의 FF 사용시 Q0, Q1, Q2, Q3 모두 1 부터 시작하여 15 부터 카운트다운하는 ... 13 동기식 카운터실험 목적 동기식 카운터의 동작원리를 익힌다 . 동기식 Mod-N 카운터의 동작원리를 이해하고 동작특성을 익힌다 . ... 가산 카운터와 감산 카운터의 차이점을 이해한다 . 플립플롭의 응용능력을 키운다 .
    리포트 | 12페이지 | 2,000원 | 등록일 2019.11.17 | 수정일 2021.10.17
  • 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    EN이 1인 상태라면 MODE가 1일 때는 업 카운터(증가 계수기)로, MODE가 0일 때는 다운 카운터(감소 계수기)로 작동한다. ... Initialize ChainFPGA에 프로그래밍할 파일을 선택한다..bit 파일을 선택한다.PROM에 프로그래밍할 파일을 선택한다.PROM File을 생성하지 않았고 FPGA에만 다운로딩 ... [사진 5] FND와 FND Array에 결과를 출력하는 4비트 카운터 소스코드[사진 6] FND와 FND Array에 결과를 출력하는 4비트 카운터 입출력 맵핑[사진 7] FND와
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 디지털 논리회로와 광센서
    우선, 카운터의 D/U 단자는 5V에 연결하여 0 부터 16까지 다운 카운트 상황으로 설정한다. ... 전압을 조정하지 않은 경우에는 카운터 회로가 그대로 상승하는 것을 확인할 수 있었다. ... 저항에 비례하게 범위가 증가하고, OPAMP에 입력되는 전압 은 일반저항과 광센서의 저항의 전압분배에 의한 것이므로 일반저항 크기에 반 비례한다.전압 범위가 적절하게 조정되었다면 카운터
    리포트 | 8페이지 | 1,000원 | 등록일 2022.03.03
  • 예비보고서(4) 카운터 counter
    /다운 카운터는 카운트-업 카운터와 카운트-다운 카운터를 결합한 것으로서 0과 1의 두 가지 입력 중 어느 한 가지로 선택해서 업 또는 다운으로 동작시킬 수 있는 카운터이다. ... 클럭 펄스를 하나씩 트리거시키면서Q` _{3} SIMQ _{ 0}의 논리상태를 측정하여 표 1(b)에 기록한다.(3)비동기식 업/다운 카운터 회로(c)를 구성하고 업/다운=1(카운트-업 ... ),CLR=0->1로 한 후 절차 (1)을 반복하여 표 2(a)에 기록한다.(4) 회로 (c)에서 업/다운=0(카운트-다운),CLR리 카운터 회로 (c)를 구성하고 절차 (1)을 반복하여
    리포트 | 11페이지 | 2,000원 | 등록일 2020.10.14
  • 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 1
    .- 반대로 다운 카운터는 계수가 감소하는 카운터이다. ... 또한 계수가 증가하는 업 카운터와 감소하는 다운 카운터로 구분한다.- 2^n진 카운터에서 n은 카운터를 구성하는 플립플롭의 개수를 나타낸다. ... .- 카운터는 클록 펄스의 연결 방법에 따라 비동기 카운터와 동기 카운터로 구분한다.- 비동기 카운터는 클록 펄스를 첫 번째 플립플롭에만 연결한다.
    리포트 | 19페이지 | 3,000원 | 등록일 2023.09.22
  • 디지털 시스템 설계 및 실습 업다운 카운터 설계 verilog
    BCD카운터는 0에서 9까지 카운트 하므로 앞에서 설계한 UP-Down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... 실습목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... 일상생활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터를 설계한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.24
  • 쉽게 배우는 AVR ATmega128 마이크로컨트롤러 8장연습문제
    타이머/카운터0, 2는 모두 업/다운 카운터로 동작이 가능하며, 업 카운터로 동작할 때는 0xFF의 다음 클럭에서 오버플로우 인터럽트가 발생. ... OCn에 1이 출력된다.- 2개의 인터럽트가 발생한다.PC(Phase Correct) PWM모드- 업-카운트에서 TCNTn값과 OCRn값이 일치하면 OCn의 출력 파형은 0이 되고 다운-카운트에서 ... 타이머/카운터 장치는 사용자 설정에 의해 타이머 동작을 하거나 카운터 동작을 하게 되는데 타이머 동작과 카운터 동작이 동시에 수행될 수는 없다.타이머/카운터 장치가 타이머로 동작될
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.10 | 수정일 2021.06.18
  • 카운터의 응용으로 디지털시계의 회로도를 완성해가는 과정을 설명하시오. 4가지 기본형 레지스터의 분류에속하는 IC들을 정리하시오.
    모든 IC 카운터는 4개의 flip-flop과 다수의 게이트로 구성된 MSI로 10진 카운터, 16진 카운터가 대표적이나 동기/비동기, 업/다운, 단일 모드/ 프리세트가능 모드 등 ... 카운터는 크게 동기식 카운터와 비동기식 카운터로 나뉘며 동기식 카운터는 직력 카운터, 비동기식 카운터는 병렬 카운터라 불리기도 한다. ... 링 카운터와 존슨 카운터가 있으며 제어와 계측 시스템에 많이 응용되고 있다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.06.02
  • 비동기 카운터, 동기 카운터 설계 예비레포트
    실험 목적1) 비동기 카운터- 비동기 업-카운터다운-카운터의 설계 및 분석- 카운터의 모듈러스(modulus) 변환- IC 카운터 사용과 카운트 시퀀스 절단(truncation) ... 파형을 관찰하여 이 카운터가 업-카운터인지 다운-카운터인지를 구별하여 기록하라.(2) 여기서는 카운터로부터 실질적인 출력을 취하는 방법을 바꾸면 어떤 일이 발생하는가를 알아보려 한다 ... 비동기 카운터, 동기 카운터 설계예비레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2.
    리포트 | 9페이지 | 1,000원 | 등록일 2022.10.09
  • [ATmega128]마이크로프로세서 16비트타이머카운터 결과보고서
    업/다운 카운터로서, 프리스케일러를 통하여 내부 클럭을 입력을 사용하여 동작하는 타이머 기능과 외부 클럭을 입력으로 사용하는 카운터 기능을 수행한다.3. ... 즉, 타이머/카운터1과 3은 클럭 선택 논리부로부터 출력되는 클럭 신호 TCLK를 입력받아 동작하며, 이 클럭 신호는 16비트 업/다운 카운터 TCNTn에 입력되고 카운터의 값이 0xFFFF에서 ... 모두 1로 설정되면 동작이 된다.- 타이머/카운터 인터럽트 마스크 확장 레지스터는 타이머/카운터1의 출력 비교 C 일치 허가 인터럽트와 타이머/카운터3이 발생하는 여러 개의 인터럽트를
    리포트 | 12페이지 | 1,500원 | 등록일 2020.01.01 | 수정일 2021.02.22
  • 디지털 논리회로의 응용 카운터/시프트레지스터
    다운 카운터에서는 매 입력 카운트 펄스에 따라서 1만큼 감쇠한다. Down 카운터는 한다. 동기 카운터에서 가장 낮은 차수의 플립플롭은 모든 펄스로 보완되게 된다. ... 디지털 논리회로의 응용 – 카운터/시프트레지스터 예비 레포트 실험 목표 비동기식 카운터와 동기식 카운터의 원리에 대해 이해할 수 있다. ... 실험 재료 및 장비 실험 장비타이머 실험 방법 비동기식/동기식 카운터 카운터는 비동기 (asynchronous) 카운터와 동기 카운터가 있다.
    리포트 | 16페이지 | 2,000원 | 등록일 2022.03.03
  • 기초전자회로실험 - 비동기,동기 카운터 예비레포트
    실험 목적 :19-(1) 비동기 업-카운터다운-카운터의 설계 및 분석19-(2) 카운터의 모듈러스(modulus) 변환19-(3) IC카운터 사용과 카운트 시퀀스 절단(truncation ... 파형을 관찰하여 이 카운터가 업-카운터인지 다운-카운터인지를 구별하여 기록하라.19-(2) : 여기서는 카운터로부터 실질적인 출력을 취하는 방법을 바꾸면 어떤 일이 발생하는가를 알아보려한다 ... 비동기 카운터21. 동기 카운터 설계2.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.02.27
  • 디지털시스템실험 - 동기식 UP/DOWN 카운터, 순차회로 설계 결과보고서
    동기식 UP/DOWN 카운터를 설계한다.2. ... 카운터를 이용한 Sequential Circuit을 설계한다.실험결과본 실험을 통해 동기식 UP/ DOWN 카운터와 순차회로를 설계하였습니다.
    리포트 | 2페이지 | 1,500원 | 등록일 2020.11.14
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 20일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:06 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기