• 통큰쿠폰이벤트-통합
  • 통합검색(1,432)
  • 리포트(1,350)
  • 자기소개서(39)
  • 시험자료(28)
  • 방송통신대(8)
  • 논문(5)
  • 서식(1)
  • 노하우(1)

"디지털시계실험" 검색결과 1-20 / 1,432건

  • 디지털 시계 실험 프레젠테이션
    - 디지털 시계디지털 회로 실험개 요1.2.3.4.회로구성시계제작작동원리결과 및 고찰1. ... 디지털 시계를 제작하면서 디지털 시계에 대한 원리와 동작을 알게되었습니다. ... 결과 및 고찰디지털 시계를 만드는 가장 중요한 요점은 clock의 수에 따라 변화하는 카운터와 입력을 받아 출력을 생성하는 디코더, 그리고 입력을 받는대로 led의 불을 켜는 7-Segment가
    리포트 | 15페이지 | 5,000원 | 등록일 2021.12.06 | 수정일 2022.04.08
  • 조선대 전자회로실험 디지털시계 과제 레포트
    디지털 시계 제작 2조 Ⓒ땅콩Contents Ⅰ 디지털 시계 Ⅱ 작동 원리 Ⅲ 재료 설명 설치 방법 Ⅳ 완성 사진 。브레드 보드 。7-세그먼트 。 IC 패키지 。 。 ... 그림의 회로에서는 가변저항 R2 를 가변하여 발진주파수를 변화시킨다.동작원리 디지털 시계 발진회로로부터 얻어진 구형파를 이용하여 디지털 시계의 기본 단위인 1초를 나타내기 위한 1 ... 디지털 시계 RC발진 회로를 이용하여 가변저항 100K옴 을 가변하여 발진주파수를 변화 시킨다.
    리포트 | 19페이지 | 1,500원 | 등록일 2019.11.07 | 수정일 2019.12.07
  • [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    실험 목적7조제출일자팀원이름(학번)설계 목표● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다.- SET 단자를 누를 시 시계의 동작 기능을 한다(시/분/ ... /1589239 빵판에다 만드는 디지털 시계(Digital Clock)https://www.youtube.com/watch? ... PPT에 넣을 문제점 정리.참고자료http://murcielrago.tistory.com/13 [디지털 클락] Digital Clock 제작에 필요한 IC Chiphttp://rabe.egloos.com
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 실험4 예비 5_마이크로프로세서 실험 및 설계 실습8. 타이머를 이용한 디지털 시계
    마이크로프로세서 실험 및 설계예비보고서실습8. 타이머를 이용한 디지털 시계#include //확장자가 .h로 끝나는 avr/io라는 헤더파일을 포함하라는 의미의 선언.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 전전설2 파이널 프로젝트 디지털시계 (전자전기컴퓨터설계2 실험 파이널 프로젝트)
    디지털 시계 코드`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////// ... ///// Company:// Engineer://// Create Date: 14:08:09 11/22/2016// Design Name:// Module Name: Clock_Digital ... Comments:////////////////////////////////////////////////////////////////////////////////////module Clock_Digital
    리포트 | 73페이지 | 5,000원 | 등록일 2019.11.09
  • [전자전기컴퓨터설계실험2] Verilog를 이용한 디지털 시계 (알람, 스탑워치, LED 기능 포함)
    //Digital_Clock.vmodule Digital_Clock(RESETN, CLK, LCD_E, LCD_RS, LCD_RW, LCD_DATA, PIEZO, BUS, BUT,
    리포트 | 81페이지 | 5,000원 | 등록일 2020.09.07
  • 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    1.디지털시계의 회로도 & 구성 원리? 디지털시계 회로도?디지털시계 구성도? 디지털시계는 위와 같은 회로도와 구성도로 작동이 된다. 진행과정을 살펴보면,? ... MR1과 MR2핀은 Reset 단자이며, 디지털 시계를 제작할때는 High low 둘다 연결하지 않는다. ... 이번 디지털 시계 프로젝트에서는 Common-Cathode Type을 이용해서, 연결할 BCD Decorder를 74ls48을 사용한다.
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • 전지전자기초실험 디지털 시계 설계 실습 설계프로젝트 레포트
    전기전자 기초실험 결과보고서제12장 설계 프로젝트 I- 디지털 시계 설계 실습 -학과학년학번분반실험조성명전기전자공학2# 기본 개념아무 입력도 들어오지 않으면 일반 시계 모드에 있으며 ... 초 조절 버튼은 초를 00으로 초기화한다.# verilog code실제로 디지털 시계를 구현할 때에는 조금 더 많은 측면을 고려해야 한다.clk가 1,000,000번 진동할 때마다 ... 별도의 과정을 거쳐야 하고, 11:59:59에서 12:00:00으로 넘어갈 때 오전, 오후를 바꿔 주는 등의 설정을 해주어야 한다.상세한 설명은 주석으로 처리하였다.module digital_clock
    리포트 | 6페이지 | 1,500원 | 등록일 2017.12.01
  • 디지털공학 실험 디지털시계보고서
    과 목 명디지털 실험담당교수결과보고서[9.디지털 시계]학과 :학번 :이름 :【1. ... 실험목적】동기 n진 계수회로의 구성원리를 사용하여 생활 속에서 사용되고 있는 각종 계수기의 기본인 디지털시계를 제작한다.【2. ... 관련이론】① 디지털시계의 구조주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2012.11.28
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험19) 디지털 시계 설계
    실험19 예비 보고서 》조제출일학과/학년학번이름1) 에서 빠진 코드를 채워라.?
    리포트 | 8페이지 | 3,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • 디지털 전자 시계 VHDL (Flowrian 을 이용한 논리 설계 실험 )
    구현 - 알람 , 스탑워치 , 시간변경 , 시간변경(다른나라 )직접 책보면서 구현 , 작동합니다.
    리포트 | 4,000원 | 등록일 2013.12.14 | 수정일 2013.12.16
  • [디지털실험][설계과목]디지털 시계 만들기
    6진 카운터 설계- 이론 조사 시 설계.(2) 디지털 시계 회로도 및 소자 설명. 디지털 시계 회로도은 우리가 설계 및 작성을 해야하는 디지털 시계의 전체적인 회로도이다. ... 설계목적/ 18(1) 그 동안 학습했던 내용을 토대로 디지털 시계를 설계(2) 디지털 응용회로 설계에 대한 개념 정립 및 설계 절차 학습.2. ... 이와 같은 디지털 시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 18페이지 | 1,000원 | 등록일 2010.03.25 | 수정일 2014.11.18
  • 기초전자회로실험 - 디지털 시계
    시계의 전체 동작을 제어하기 위한 회로이다.초기화 회로전원이 공급될 때 디지털 시계를 리셋시키거나 또는 디지털 시계가 동작 중에 있을 때 스위치를 이용하여 디지털 시계를 리셋시키기 ... 1.조 구성원2.Project명디지털 시계3.Project goal디지털시계의 논리회로를 이용해 회로도에 대한 기본적인 지식습득과 직접 회로를 구성함으로서 차후에 보다 복잡한 회로구성에 ... 도움이 되고자 한다.4.Main Subject & Basic Theory디지털 시계의 구성디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to
    리포트 | 10페이지 | 3,000원 | 등록일 2011.04.25
  • 논리 회로 설계 및 실험 디지털 시계 기말과제
    Digital Watch주위를 둘러 보았을 때 상당히 쉽게 마주칠 수 있는 것이 디지털 시계이다. ... 논리 회로 설계 및 실험기말 과제[Digital Watch]INDEXSession1. (Introduction)The name of this project (과제 이름)Why? ... 막연히 쉽게 지나치는 시계를 이번 1학기 중에 배운 실험을 통해 배운 것들 활용해 나의 기량을 향상 시킬 수 있을 것이라고 생각 하였다.카운터를 이용해 설계할 수 있는 가장 기본이자
    리포트 | 15페이지 | 1,000원 | 등록일 2010.10.19
  • [디지털회로실험]디지털 시계 설계(PLD)
    분, 초 디지털 시계[목적]1. 디지털 시계를 설계중 분과 초에 해당하는 카운터를 설계한다.2. PLD를 이용한 디지털 실험을 통해 회로 구현을 수행한다. ... 「시」디지털 시계[목적]1. 디지털 시계를 설계중 시에 해당하는 카운터를 설계한다.2. PLD를 이용한 디지털 실험을 통해 회로 구현을 수행한다. ... [기본이론]12시까지 시간을 카운터하는 12진 카운터를 설계하고, 시간의 일의 자리와 십의 자리의 12진 디코더를 설계하므로써 「시」영역의 디지털 시계를 만들 수 있다.(1) 12진
    리포트 | 9페이지 | 1,500원 | 등록일 2005.12.10
  • [논리회로실험] 디지탈 시계
    디지털 시계에서 구현한 기능 1) 32768Hz의 발진회로를 이용하여 digital 시계를 제작. 2) 시간, 분, AM/PM을 display. 3) 시간이 12가 될 때마다 ... 12시 00분으로 초기화하는 reset switch가 있음. 8) 시계를 멈추거나 동작하게 하는 go/stop switch가 있음. ... ) 시간은 1시~12시를 display. 5) 시간 및 분은 각각 adjust switch가 있음. 6) 시간 표시기의 상위 자리는 1을 표시하지 않을 경우 꺼져있음. 7) 시계
    리포트 | 1페이지 | 3,500원 | 등록일 2005.05.25 | 수정일 2015.12.08
  • 전전컴실험Ⅱ 06반 제15주 Project [최종보고서] 전자시계, digital watch
    실험 결과가. ... 느낀점‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 7< 초록 (Abstract) >마지막 프로젝트는 lcd에서 기본 디지털 시계 및 추가 기능을 구현 하는 것이 목표였다. rs, rw ... 실험 결과에 대한 고찰‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥7나.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 전전컴실험Ⅱ 06반 제15주 Project [최종보고서] 전자시계, digital watch
    실험 결과가. ... 느낀점‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 12< 초록 (Abstract) >마지막 프로젝트는 lcd에서 기본 디지털 시계 및 추가 기능을 구현 하는 것이 목표였다. rs, ... 실험 결과에 대한 고찰‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥12나.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.09.09
  • 전전컴실험Ⅱ 06반 제14주 Project [중간보고서] 전자시계, digital watch
    ..PAGE:1Verilog digital clock project 전자전기컴퓨터 공학부2009440111 이종욱..PAGE:2프로젝트 block diagram로젝트 진행사항프로젝트 ... basic ;endelse if (reg2_hr_up == 1) begincnt_hr_01 = cnt_hr_01 + 1 ;end..PAGE:6-수요일 : 24시간 모드까지 기본적인 시계동작을
    리포트 | 6페이지 | 1,000원 | 등록일 2013.09.09
  • 전전컴실험Ⅱ 06반 제14주 Project [중간보고서] 전자시계, digital watch
    실험 모습사진을 찍지 못함.다. ... -세계 시계 기능 : 뉴욕(NY), 시드니(SYD), 두바이(DUB) 시간을 알 수 있다.나. ... BLOCK-DIAGRAM 및 동작 개요-세계 시계 기능 : button을 누르면 시간이 차례대로 바뀐다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대