• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(305)
  • 리포트(274)
  • 시험자료(12)
  • 방송통신대(10)
  • 자기소개서(9)

"자판기 회로" 검색결과 1-20 / 305건

  • 자판기 베릴로그코드 테스트벤치(testbench) 포함 / Vending machine / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    )이 포함되어 있습니다.1500원 콜라 자판기의 경우 모델심 시뮬레이션에 필요한 testbench 코드 파일도 첨부되어 있습니다. ... 가격이 1000원 및 1500원인 콜라를 판매하는 자판기를 각각 Verilog code로 구현하였습니다.코드파일(.v)과 머신에 대한 설명 및 시뮬레이션 결과에 대한 파일(.docx
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.17 | 수정일 2020.10.22
  • 디지털 회로 자판기 보고서
    그림에서 나온 출력이 좌측의 논리회로를 거쳐 녹색LED에 불이 들어오게 된다.이때 녹색 LED는 자판기의 현재 남은 금액이 우리가 각 음료수에 해당하는 가격을 만족했을 경우 음료수를 ... 조원은 총 4명으로 구성되어있다.우리 조는 자판기를 만들고자 하였다. ... 자판기 자체에서 음료수 재고가 없을 경우 빨간 불이 들어와 음료수가 나오지 않게 된다.2.Digital circuita.
    리포트 | 10페이지 | 4,000원 | 등록일 2015.12.18 | 수정일 2021.03.22
  • 논리회로 자판기설계
    설계목표- IC소자를 이용한 자판기 시스템을 설계한다.- 설계 작품은 다음과 같은 기능을 갖는다.설 계 내 용① 돈 투입 버튼 부의 100원, 500원 버튼을 통하여 원하는 금액을 ... 회로도3.설계결과4. ... TROUBLE SHOOTING-시뮬레이션 결과와 실제로 구성한 회로의 결과 값 상이그래서 꾸준한 회로 결선으로 결과값 나오게 함-채터링 현상으로 버튼을 한번만 눌러도 두 번 세 번
    리포트 | 5페이지 | 1,500원 | 등록일 2012.08.29
  • [논리회로설계실험]커피자판기설계
    커피자판기(FSM 설계)1. 실험 내용1) 다음 상태도와 동작에 따라 커피자판기를 설계하시오.① 상태도② 동작 설명? 전원이 인가되고 Recet이 되면 "00"상태로 존재? ... IEEE.STD_LOGIC_1164.ALL;entity fsm isport ( clk : in std_logic; -- 다섯 개의 입력과 하나의 출력을 선언, state_out은 회로
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • [논리회로 및 실험1 결과보고서] 실험 9. 연필자판기 결과보고서
    연필자판기과목명담당교수담당조교학과제출일학번/이름1. ... 데이터 및 관찰내용모델-2 연필자판기의 진리표는 다음과 같았다.입력출력N1D1D2QPNCDC1DC200000*************10XXXX0011XXXX0100000001011011011011000111XXXX10000000100111101010XXXX1011XXXX110010001101XXXX1110XXXX1111XXXX위의 ... 실험목표* 주입된 ‘동전’의 양을 기준으로 하여 ‘연필’과 ‘거스름돈’을 지급하는 논리 회로의 설계와 구성.* 실험 회로와 결과에 대한 보고서 작성2.
    리포트 | 9페이지 | 1,500원 | 등록일 2019.04.26
  • [VHDL][논리회로] 자판기 설계(3가지,반환)
    [VHDL][논리회로] 자판기 설계(3가지,반환)A+받은 설계 입니다실습 최종 과제 였고 최선을 다해서 만들고교수님한테도 칭찬 받은 설계입니다.코인 반환과 잘못된 코인 입력시 반환기능도
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • 디지털 논리회로 자판기 구현
    Question #3.1. IntroductionIn this question, I saperated the states according to the amount of money accumulated until now. That means, S0 equals to 0..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.28
  • [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기)
    [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기)A+받은 설계 입니다
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • 디지털 회로 설계 프로젝트 vending machine (자판기)
    여기에서 설계한 자판기에 들어가는 동전의 종류는 100원, 500원 두 종류이고 자판기 안에 있는 음료수의 종류는 400원짜리, 500원, 600원 이렇게 세 종류다. ... 여기서 reset은 자판기를 사용하는 사용자가 바뀌거나 사용자가 음료수를 뽑는 일을 다 마쳐서 다시 돈을 넣을 상태가 된 것을 뜻한다. ... 설계 목표그 동안 학습한 comparator, multiplexer, adder, register, d flip-flop, logic gates 등의 기능을 이용해 음료수 자판기
    리포트 | 7페이지 | 2,000원 | 등록일 2013.01.02 | 수정일 2021.01.31
  • 기초회로실험 설계 자동판매기 자판기 PCB 회로
    1) 자판기 동작- 버튼1을 누르면 50원씩 증가 150이면 커피에 해당하는 LED 깜박이며 0으로 초기화- 버튼 2를 누르면 100원씩 증가 200이면 커피와 거스름돈에 해당하는 ... ) 회로도는 전면 흑백, 백색배경 컬러, 흑색배경 컬러와 뒷면 흑백, 백색배경 컬러, 흑색배경 컬러로 구성된 PDF파일 제공 아래는 전면 흑백회로의 축소판전면 회로도를 보고 소자를 ... 고정 시킨 후 뒷면 회로도를 보고 납땜질을 하면 완성
    리포트 | 1페이지 | 2,500원 | 등록일 2013.06.06
  • 논리회로 자판기 제작 최종 보고서
    . 1개의 가산기를 이용하였기 때문에 논리 게이트들과 멀티플렉서를 사용 할 필 요가 없어져 회로도가 간단화 되었습니다. ... 수정 및 보완사항① 예비보고서때 2개의 가산기와 1개의 감산기를 사용하는 회로를 발표 하였는데 조교님이 가산기 개수를 줄 일수 있는 방법을 생각해 봐야 좋을 것 같다고 말씀하셔서 100원 ... 반가산기는 Exclusive OR 회로와 자리 올림수 생성을 위한 AND 게이트로 구성된다.· Full adder컴퓨터 연산에서 반가산기로는 두 비트 이상의 2진수를 가산을 하는데
    리포트 | 10페이지 | 1,500원 | 등록일 2012.02.29
  • 논리회로 자판기 제작 최종 보고서
    결과 보고서2차 설계Index설계 목적 논리 회로도 - 최종 회로도. - 수정 및 보안 사항. ... 투입금액 및 사용금액을 가감하고 이를 7-SEGMENT 에 표시함.수정 및 보완사항.100원 투입용, 500원 투입용, 600원 차감용 감가산기(총 3개)를 따로 사용함.한 개의 가산기로 ... 차감된 투입금액이 제품 가격 이상이면 구매가능표시 LED는 켜진 상태가 유지되며 제품 가격 이하이면 구매가능표시 LED는 꺼진다.논리회로도(최종 회로도)10의자리1 의자리100 투입500
    리포트 | 10페이지 | 1,500원 | 등록일 2012.02.29
  • 아주대 논리회로 VHDL 첫번쨰 과제 자판기
    첫 번째 과제는 자판기를 금액으로 표시하는 것으로써, 각 세그먼트 당 8bit 출력으로 만들었고 출력을 결정하는 입력을 4-bit로 표현하였다. 4-bit로 표현했으므로, 0~15까지 ... 또한 자판기에는 100원을 넣는 것이므로, 3번째와 4번째 segment에서는, 항상 0이 출력되게 만들면 된다.따라서 예상되는 결과로는, 0원부터 9900원 까지 표현이 가능하며,
    리포트 | 4페이지 | 4,000원 | 등록일 2014.03.23
  • [Flowrian] 커피 자판기 회로의 Verilog 설계 및 시뮬레이션 검증
    검증- 최상위 자판기 회로 : 구조수준 Verilog 설계 및 시뮬레이션 검증Verilog 언어를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다. ... 커피 자판기 회로는 10개의 모듈로 구성된다.- 12 비트 레지스터 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 8 입력 12 비트 멀티플렉서 : RT 수준 Verilog ... 설계 및 시뮬레이션 검증- 커피 제조 제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 자판기 제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션
    리포트 | 56페이지 | 2,000원 | 등록일 2011.09.24
  • 논리회로) 자판기를 제어하는 조합 논리회로설계 (Pro_VSM 시뮬, 진리표, 실험사진)
    실험 제목 : 자판기를 제어하는 조합 논리회로설계2. 실험 목적 : 조합 논리회로를 이용해서 음료수 자판기를 직접 설계한다.3. ... 실험 내용 600원 짜리와 700원 짜리 음료수를 판매하는 자판기이다. 이 자판기는 100원 짜리 동전 7개와 500원 짜리 동전 1개를 각각 입력 받을 수 있다.
    리포트 | 1페이지 | 1,500원 | 등록일 2013.06.09
  • 자판기 설계(상태도,상태표,카노맵,회로도,VHDL설계)
    library BITLIB; // 라이브러리 BITLIBuse BITLIB.bit_p..
    리포트 | 22페이지 | 10,000원 | 등록일 2011.12.13 | 수정일 2019.03.08
  • [디지털시스템][회로설계]자판기, vending mechine 회로설계 및 검증
    ■ 과제 목표Vending Machine 기능을 갖는 회로를 설계하고 이를 검증.■ Vending Machine의 기본 기능커피를 파는 자판기를 설계한다. ... 자판기는 잔돈 반납 가능하다. ... IN_1은 자판기에 넣는 동전.5. IN_2은 반환 버튼.6. VALUE은 반환 동전.7. OUT은 커피.8. Moore 방식.
    리포트 | 2페이지 | 13,000원 | 등록일 2010.01.13
  • [디지털시스템][회로설계]자판기, vending mechine 회로설계 및 검증
    ■ 과제 목표Vending Machine 기능을 갖는 회로를 설계하고 이를 검증.■ Vending Machine의 기본 기능커피를 파는 자판기를 설계한다. ... 자판기는 잔돈 반납 가능하다. ... IN_1은 자판기에 넣는 동전.5. IN_2은 반환 버튼.6. VALUE은 반환 동전.7. OUT은 커피.8. Moore 방식.
    리포트 | 6페이지 | 13,000원 | 등록일 2010.01.13
  • [디지털회로]VHDL을 통한 자판기 구현 PPT
    VHDL을 통한 자판기 구현그림 1 은 자판기(vending machine)의 블록도이다. ... 자판기(vending machine)1 : 100원짜리 동전 5 : 500원짜리 동전 4 : reset C : 반환 D : 커피 / E : 우유 F : 주스그림2그림 3 는 자판기( ... 자판기(vending machine)는 6개의 키패드 스위치를 가지며 해당 키패드는 그림 2 에서 확인 할 수 있다. key vector는 반환, 주스, 우유, 커피, 500원, 100원짜리
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • [논리회로]자판기 구현
    Project커피 재료 조절 자판기 (“ 내 커피는 내 맘대로”)Team MembersSystem DiagramVending Machine controllerEND swCoin 100 ... Finite State Machine Design - A Vending Machine [인터비전]디지털 시스템 [미래컴] VHDL 을 이용한 디지털 설계결론 및 후기우리가 손쉽게 사용하는 자판기에도 ... 전체 회로를 구성하는 각각의 컴포넌트를 직접 설계 2. 컴포넌트 단위 테스트 3. 각각의 컴포넌트 결합 - 전체 회로 구성 4.
    리포트 | 19페이지 | 3,500원 | 등록일 2005.04.18 | 수정일 2017.10.24
AI 챗봇
2024년 08월 29일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대