• 통큰쿠폰이벤트-통합
  • 통합검색(861)
  • 리포트(820)
  • 자기소개서(27)
  • 논문(7)
  • 시험자료(6)
  • 방송통신대(1)

"카운터설계 실험" 검색결과 1-20 / 861건

  • 논리회로실험 카운터 설계
    논리회로설계 실험 예비보고서 #7실험 7. 카운터 설계1. ... 실험 내용- 실험 1. 8비트 비동기식 업카운터와 8비트 동기식 다운카운터설계하시오.(1) 8비트 비동기식 업카운터와 8비트 동기식 다운카운터1) 소스코드8비트 비동기식 업카운터8비트 ... 동시에 동작시킨다.- 순차 논리회로의 설계에 따라 설계할 수 있다.- 카운터의 동작 시 전파지연시간이 없다.- 아래의 그림은 4비트 mod - 16 리플 카운터의 논리회로도 이다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 디지털공학실험 동기카운터설계 예비리포트
    동기 카운터 설계관련이론동기 카운터는 모든 플립플롭이 같은 클럭 펄스를 받아 그것을 기준 클럭으로 사용하여, 모든 소자가 동시에 트리거 되며 변하는 카운터 회로를 말한다.동기 카운터를 ... 설계하기 위해서는 우선 상태 다이어그램을 이용해서 진리표를 작성하여야 한다. ... 동기 카운터에서 주 시퀸스가 되는 상태들은 반드시 상태 다이어그램에 명시되어야 하며 주 시퀸스가 아닌 상태들 또한 특정 방법을 이용하여 주 시퀸스로 돌아오는 상태여야 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.19
  • 중앙대학교 실험 결과 보고서(카운터 설계)
    10진 비동기 카운터 설계(10진 카운터의 회로 모습)(A) 10진 카운터의 회로를 결선하고 회로 사진을 보여라.=> 다른 조의 실험을 통해 확인(B) 출력 Q1, Q2, Q3, Q4에 ... (C) JK Flip flop을 하나 더 사용하여 16진 비동기 카운터설계하고 동작 검증사진과 함께 결과를 기술하라.=> 다른 조의 실험을 통해 확인1-4-2 리셋회로를 사용한 ... 과목명담당 교수학과학번실습일결과 보고서10.전자전설계실습 10.1-4 설계실습 방법(비동기식 4진 카운터 회로)CLK가 falling edge일 때 값이 변한다.값이 변하는 것은 이전상태를
    리포트 | 4페이지 | 1,000원 | 등록일 2020.01.13
  • 중앙대학교 실험 예비 보고서 (카운터 설계)
    실습목적- JK Flip Flop을 이용한 동기식, 비동기식 카운터설계해 보고 리셋 기능을 이용하여 임의의 진수의 카운터를 제작할 수 있는 능력을 배양한다. ... 또한 Q1, Q2, Q3출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 연결한다.1-3-3 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를 ... 설계실습 계획서1-3-1 4진 비동기 카운터이론부의그림 12-2의 비동기식 4진 카운터에 1MHz의 구형파 (square wave)를 인가할 때 Q1 신호의 주파수와 Q2신호의 주파수를
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.13
  • (기초회로 및 디지털실험) 16진 동기 및 비동기 카운터 설계
    구분실 험 제 목설계316진 동기 및 비동기 카운터실험 조건TTL IC(SN7400, SN7476, SN7490)를 이용하여 구현한다.설계 과정비동기 및 동기식 카운터의 구조와 동작원리를 ... 실험 결과를 바탕으로 결과 보고서를 작성한다.이번 보고서는 3가지의 TTL IC 소자를 이용해서 16진 동기 및 비동기 카운터설계하는 것으로 동기식 카운터는 비동기식 카운터와는 ... 이해하여 16진 동기 및 비동기 카운터설계한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 디지털시스템실험 - 동기식 UP/DOWN 카운터, 순차회로 설계 결과보고서
    카운터를 이용한 Sequential Circuit을 설계한다.실험결과본 실험을 통해 동기식 UP/ DOWN 카운터와 순차회로를 설계하였습니다. ... 동기식 UP/DOWN 카운터설계한다.2. ... 디지털 시스템 설계실험 KEEE209 전기전자전파 공학부디지털 시스템 설계실험 결과보고서디지털 시스템 설계실험 2016 전기전자공학부이름 :학번 :실험제목Sequential
    리포트 | 2페이지 | 1,500원 | 등록일 2020.11.14
  • 디지털실험설계 예비4(카운터)
    디지털 논리실험설계#4 카운터 (예비)담당교수님 : 교수님제출일자 : 2015. 04. 13조 :학번 :이름 :1. ... 실험 이론(1) 비동기식 카운트-업 카운터비동기식 카운터-업은 입력 펄스의 수를 세어 올라가는 것을 나타낸다. ... 여기서 회로를 좀 더 살펴보면 위에서 말한 것처럼 회로도의bar{Q}의 값의 뒤에 JK플립플롭의 CLK에 들어가게 되면서 자연스럽게 카운터를 하도록 설계되어있다. 4비트-2진 카운터
    리포트 | 10페이지 | 1,500원 | 등록일 2015.12.05
  • 디지털실험설계 결과4(카운터)
    디지털 논리실험설계#4 카운터 (결과)담당교수님 : 교수님제출일자 : 2015. 04. 20조 :학번 :이름 :1. ... 실험은 비동기식 업/다운 카운터의 결과 값을 표로 작성 한 것이다.앞에 실험의 결과 값과 비교해 보면 큰 차이가 없다. ... 결과 값을 확인해보면 앞의 두 실험의 업 카운터와같은 값이 나온다.
    리포트 | 5페이지 | 1,500원 | 등록일 2015.12.05
  • 디지털실험설계 예비5(카운터2)
    디지털 논리실험설계#4 카운터 2 (예비)담당교수님 : 교수님제출일자 : 2015. 04. 20조 :학번 :이름 :1. ... 실험 이론(1) 리플 캐리 카운터리플 캐리 카운터 회로는 앞단 플립플롭의 입력과 출력을 AND게이트로 모아서 다음 플립플롭의 J와 K 입력으로 넣어주도록 되어있다. ... 비동기식 카운터와 동기식 카운터의 절충적의 동기식 카운터이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2015.12.05
  • 디지털실험설계 결과5(카운터2)
    디지털 논리실험설계#5 카운터 (결과)담당교수님 : 교수님제출일자 : 2015. 04. 27조 :학번 :이름 :1. ... 특히 10진 카운터설계할 때에1010이 되면 클리어를 시키는 방법으로 설계를 할 수도 있고, 동기식 10카운터설계할 때에는 입력 J, K에 따른 Q값을 확인하여 원하는 출력을나오게 ... 하지만 JK플립플롭이 여러 개가 쓰이므로 회로를 구성할 때 생각을 많이 해야 한다.- 지난 실험에서는 카운터 실험을 해도 비동기식 카운터와 동기식 카운터사이의 차이점을 잘 몰랐었는데
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.05
  • 08 논리회로설계실험 예비보고서(카운터)
    논리회로설계 실험 예비보고서 #8실험 8. 카운터 설계1. 실험 목표카운터의 개념과 종류에 대해 학습하고, VHDL을 이용하여 각 카운터설계한다.2. ... 실험 내용- 실험 1-1. 8비트 비동기식 업카운터 설계(1) VHDL 코딩8비트 비동기식 업카운터(2) 시뮬레이션 결과- 실험 1-2. 8비트 동기식 다운카운트 설계(1) VHDL ... 코딩8비트 동기식 다운카운터(2) 시뮬레이션 결과- 실험 2-1. 8비트 존슨카운터 설계(1) VHDL 코딩8비트 존슨카운터 설계(2) 시뮬레이션 결과- 실험 2-2. 8비트 링카운터
    리포트 | 9페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 08 논리회로설계실험 결과보고서(카운터)
    논리회로설계 실험 결과보고서 #8실험 8. 카운터 설계1. ... 실험 결과실험 1. 8비트 비동기식 업카운터 설계(1) 설계 내용1) 소스 코드2) 핀할당3) 7segment 표시결과4) 결과 분석이번 실험을 하기 전 작성했던 예비보고서에서 설계했던 ... 실험 목표VHDL을 이용하여 카운터설계한다.설계카운터를 이용하여 RoV-Lab3000의 led와 7segment가 정해진 동작을 수행하도록 한다.2.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 논리회로실험17 동기식 카운터설계
    실험결과 정리.- 이 실험을 통해 동기식 카운터설계 방법을 배웠다. ... 설계한 회로와 그림 16-1의 회로를 비교해 보시오JbKbJaKa2) 이 실험에서 다룬 순차와 역순인 동기식 카운터를 설게하려고한다. 어떻게 해야 하는가? ... 그리고 그것을 이용해 직접 카운터설계 할 수 있었다.이 번 실험에서는 2진 0-8까지의 순차 회로를 구성하였는데 5와 7은 미사용 상태로 돈캐어 처리를 하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.09.08
  • 논리회로설계실험 비동기 카운터 설계
    비동기 카운터 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt_4 isport
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    실험 목적Microprocessor 실험설계 과목에서 배운 내용들을 활용하여 AVR Processor 기반 Microcontroller Unit인 ATmega128 라이트 모듈로 ... 실험 이론이번 실험에서 우리는 여러가지 모드로 동작하는 타이머를 제작하기로 했다. ... INTERRUPT, 시간을 정확하게 측정하기 위한 TIMER, 그리고 알람을 위한 OCR의 원리를 알아야한다는 것을 알았다.1) GPIOGPIO는 범용으로 사용되는 입출력 포트이며 설계자가
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험13) 시프트 레지스터와 카운터설계
    시뮬레이션53) 카운터를 리셋 시키기 위한 Verilog HDL 구문을 들고 설명하라.? 코드? 시뮬레이션5《 실험13 결과 보고서 》조제출일학과/학년학번이름실험 (1)? ... , DE2보드에서 실험하라.? ... 시뮬레이션5② Up-down 카운터? 코드? 시뮬레이션5③ BCD (Binary-Coded Decimal) 카운터? 코드? 시뮬레이션5④ 모듈로-N (Modulo-N) 카운터?
    리포트 | 9페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험17) 주파수 카운터 설계
    실험17 결과 보고서 》조제출일학과/학년학번이름실험 (1) 실험에서 제시한 회로를 다음 지시에 따라 수정 설계하라.1. 레지스터 reset를 1비트 신호로 바꾸고,2. ... 《 실험17 예비 보고서 》조제출일학과/학년학번이름2) , , , , , , 그리고을 설명하고 시뮬레이션 결과를 제시하라.? ? ? ? ? ? ? ?
    리포트 | 10페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 디지털실험 - 동기 및 비동기 카운터 회로 설계 결과레포트
    실험은 비동기 및 동기식 계수기의 구조와 동작원리를 이해하여 16진 동기 계수기, 비동기 계수기를 설계하는 것이었다.회로도 비교적 간단하고, 실험도 어렵지는 않았는데, 이상하지 만큼 ... 실험이 제대로 되지 않았다. ... 모든 플립플롭에 클럭 신호가 병렬로 동시에 인가되기 때문에 계수속도가 빠른 장점이 있으나 비동기식 카운터에 비하여 설계하기 복잡하다는 단점이 있다.이리하여 동기식 계수기를 설계하는
    리포트 | 6페이지 | 1,000원 | 등록일 2012.03.09
  • 플립플롭을 이용한 10진, 12진 카운터 설계 실험레포트
    Discussion실험3 앞면실험3 뒷면이번 실험은 이미 완성되어 시중에 시판되는 동기식 16진 카운터를 이용하여 임의의 N진 카운터설계하는 것입니다.Rcarry와 CLR(Reset ... )와 NAND게이트을 이용하여 원하는 수의 상태를 반복하는 카운터를 저렴한 Cost로 간단하게 설계 할 수 있습니다.실험에서 제작한 9진 카운터는 0~8의 9개의 상태를 반복하는 카운터입니다 ... 들어가도록 하는 F/F입니다.이 T-F/F 4개를 사용하여(이므로) 12개의 정해진 상태를 움직이는 12진 카운터설계합니다.기본적인 개념은 실험 1과 같습니다.
    리포트 | 17페이지 | 3,000원 | 등록일 2010.06.09
  • [전기전자기초실험]10장 - 플립플롭과 카운터 설계 실험 [예비&결과]
    R-S latch는 S와 R의 입력으로 저장할 값을 입력한 다음 R와 S를 0으로 입력함으로써 이전 입력을 저장하게 되어 있다. 위의 Truth table은 로 나타낼 수 있다. ◎Level sensitive R-S latchR-S latch의 입력 부분에 추가로 A..
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:06 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대