• 통큰쿠폰이벤트-통합
  • 통합검색(51)
  • 리포트(48)
  • 서식(2)
  • 시험자료(1)

"7segment term project" 검색결과 1-20 / 51건

  • Term_Project_보고서_1조
    때문에 7447(BCD-to-7segment)을 이용하여 연산에서 사용된 2진수를 7segment에서 이용할 수 있도록 변환시켰다. ... 그 다음 7447(BCD-to-7segment)을 사용하여 입력 값들을 바로 7segment에 보여줄 수 있게 설계하였다.Switch?ON?OFF?(a) 그림?0V (Low)? ... 보여줘야 하는데 7segment는 bcd코드로 입력을 받는다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 디지털 시계 회로 제작 보고서
    전기전자 기초실험 및 설계Term Project 보고서디지털 시계 회로 제작1. 실험 주제디지털 논리 회로를 이용한 디지털 시계 제작2. 실험 원리그림 1. ... 7-segment 디스플레이 장치의 동작원리그림 5는 74LS47의 결선도, 그림 6은 7-segment를 나타낸다.그림 5. 74LS47의 결선도 그림 6. 7-segment74LS47의 ... 상부의 7-segment와 74LS47로 이루어진 7-segment 디스플레이 장치의 동작원리에 대한 이해가 필요하다.그림 2. 발진회로?
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.27
  • (A+자료) 디지털실험 텀프로젝트 PvP 두더지잡기 게임 구현 작품
    Term Project 보고서두더지 잡기디지털회로실험및설계목 차1. 주제, 팀원, 역할분담 / 동기 및 목적2. 관련 이론 / 사용한 부품3. ... 각 선별로 하나의 핀이 해당되므로 Vcc를 포함한 8개의 핀으로 숫자를 표시할 수 있다.7-segment 진리표7-segment 타입NE555 Timer555 타이머의 기능1) Monostable ... 완성도 있는 작품을 만드는 것이 목적이다.사용 부품 / 관련 이론사용 부품커패시터0.01uF 5개1000uF 5개470uF4개74LS021개7-segment (c)2개저항10k 4개470
    리포트 | 20페이지 | 5,000원 | 등록일 2023.01.09 | 수정일 2023.03.07
  • 전기전자기초개론실험/기계공학실험 설계 텀프로젝트
    전기전자기초실험 및 설계Term Project 보고서Digital Counter Clock1. ... 상부의 7-segment와 74LS47로 이루어진 7-segment 디스플레이 장치의 작동원리에 대한 이해가 필요하다.사용부품은 7-segment 6개, 74LS47 6개, 74LS90 ... 7-segment 디스플레이 장치의 동작원리그림 5는 74LS47의 결선도, 그림 6은 7-segment를 나타낸다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.11.29
  • PESTLE and SPACE analysis International Strategic Marketing
    Therefore, this stage is known as assesst they have a wide spectrum in terms of price, from budget street ... and quality of their products and services made them to target both segments. ... The Intercontinental Hong Kong has successfully positioned itself to leisure and business segments all
    서식 | 16페이지 | 2,500원 | 등록일 2020.05.05
  • [마이크로프로세서설계실험] 팀프로젝트 시계 회로를 구현 결과보고서
    마이크로 프로세서 설계 실험Term project 결과 보고서1. ... 초 시계1번 버튼을 누르면 7segment의 뒤의 두 자리에 초를 표시합니다.3. ... 시간(hour, min) 표시7segment의 앞의 두 자리에 현재 시각(12시간 기준), 뒤의 두 자리에 분을 표시합니다.2.
    리포트 | 20페이지 | 1,500원 | 등록일 2019.11.17
  • 텀프로젝트 디지털논리실험및설계 [ 주차장 카운트 ] A+자료
    6조 Term Project 보고서과목명디지털회로 실험 및 설계재료비약 8만원1. ... 주제, 팀원, 역할 분담Term Project 주제: 주차 수 카운트+적외선 센서 이용한 주차자리 LED2. ... 그래서 4비트 BCD에 해당하는 특정 segment만 불이 켜지게 해서, BCD에 해당하는 숫자가 사람의 눈에 보이게 된다. 74LS47의 a~g 출력핀은 오픈 컬렉터(open collector
    시험자료 | 19페이지 | 6,500원 | 등록일 2023.12.15
  • ATmega128을 이용한 전자피아노(메트로놈, 자동노래재생 기능 추가)
    )피아노 건반(PORTA)7segment a~g. dp(PORTG)PG0~PG3: 7SEGMENT COM4~COM1(PORTE)LCDPC0PC1PC2PC3PC4PC5PC6PC7(2) ... 마이크로프로세서 실험 및 설계 Term Project Report주제명: 전자피아노(메트로놈, 자동노래재생 추가)차 례1.프로젝트 개요2.전체 시스템 구성3.회로도, 소스코드4. ... 예를 들어 sound(3, F)인 경우 4옥타브 파, sound(4, GS)인 경우 5옥타브 솔#(샵)이 된다.
    리포트 | 33페이지 | 3,000원 | 등록일 2020.01.14 | 수정일 2023.08.24
  • 기계공학실험 - Final Term Project (PIC BASIC을 통한 시스템 제어)
    와 7-segment 를 이용한 카운팅 및 표현법 습득실험도구 PICBASIC Interrupt 7-segment Bread board실험이론 인터럽트란 ? ... Final Term Project 1/Contents 실험목적 실험도구 실험이론 회로구성 및 프로그램 코드실험목적 PIC BASIC 을 통한 시스템 제어 방법 습득 Interrupt ... Bar 형태로 된 LED 를 7 개 연결해 놓아 숫자 또는 간단한 문자를 표시 할 수 있게 만든 것 .실험이론 Step Moter 란 ?
    리포트 | 16페이지 | 1,000원 | 등록일 2012.12.12
  • 논리회로 Term Project/디지털논리회로 설계 프로젝트/7Segment활용 논리회로 설계/실생활에 활용가능한 논리회로 설계
    Digital LogicTerm project7SEGMENT를 활용한 논리회로 설계주방 모니터에 표현테이블에서 음식을 주문하면 주방모니터에서도 똑같이 나타난다.테이블에서 음식을 주문하면 ... 먼저 음식의 수를 카운터 하기위하여 10진 카운터를 사용하였고, 10진 카운터의 2진수를 사람이 알아 볼 수 있도록 10진수로 표현하기 위하여 7세그먼트를 사용하였습니다. ... 하였습니다.마지막으로 주방 모니터에 회로를 연결하여 주방에서 주문된 음식을 알 수 있도록 모니터로 표현하였습니다.Discussion저희가 토론한 결과 보완해야할 점과 아쉬운 점은 주방 모니터의 7-
    리포트 | 10페이지 | 2,000원 | 등록일 2018.08.19 | 수정일 2018.08.24
  • 7-SEGMENT 디스플레이 term project
    project555timer와 비동기카운터를 이용해서 7Segment에 숫자를 순차적으로출력시킨다...PAGE:37-segment 부품..PAGE:41. ... segment, switch 1개, 저항 4.7M Ω, 330 Ω-7개, 가변저항 100k Ω,커패시터 10 μF, 0.1 μF목적조합논리회로 설계 및 응용 능력 향상을 위한 Term ... ..PAGE:1Term Project7-SEGMENT 디스플레이555타이머를 이용해서 클럭펄스를 주고 JK-Flipflop을 이용해서 UP/DOWN counter를 만들어서7-Segment
    리포트 | 16페이지 | 1,000원 | 등록일 2011.04.26
  • 디지털회로실험 교안.hwp
    Term Project(1)실험 14. Term Project(2)실험 15. Term Project(3)참고문헌 74실험 1논리 게이트1. ... 사용부품- TTL 게이트- 7 segment 디코더/드라이버5. 실험방법 및 순서1.1. ... 앞 실험 5.1번에서 구성한 회로의 출력을 BCD/7-segment 디코더/드라이버 (74LS47)의 입력에 연결하라.
    리포트 | 79페이지 | 1,000원 | 등록일 2017.10.23 | 수정일 2020.11.26
  • 전자_디지털회로 곱셈기 설계과제
    2012 Second Semester Term Project2-bit ? ... K-Map (부호, seven-segment)seven-segment가 Common-anode 타입이라 1일 때 동작하는 것이 아니고 0일 때 동작하므로 K-Map 작성 시 0과 1을 ... )34회로도45Maxplus 2 code design56~7Data Sheet68~9검토1.
    리포트 | 9페이지 | 1,000원 | 등록일 2018.01.29 | 수정일 2019.10.26
  • 디지털 실험 텀프로젝트(vending machine)
    2016.12.01.Term project 설계계획서XXX 교수님 - 기초회로 및 디지털 실험1조학번 :이름 :제출일자 : 2016-12-01교과목 명기초회로 및 디지털실험설계 제목Vending ... segment display에 출력.입력된 돈이 음료 가격보다 작다면 잔액부족 LED에 불이 켜지게 한 다음 입력한 돈을 그대로 출력 7-segment display에 출력. ... 우리에게 친숙한 자판기를 설계하면 좋겠다는 생각이 들었다.입력된 금액을 저장해주는 카운터와 계산된 금액을 출력하는 7-segment display를 이용한다.
    리포트 | 3페이지 | 4,000원 | 등록일 2016.11.30
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    Term project목차1. 제작 목적2. 활용 방안3. 사용 부품4. 데이터시트5. 사용 이론6. 회로7. 결과1. ... 제작 목적실험 실습을 통해 배운 여러 이론들인 게이트, 플립플롭, 7segment, 타이머, 디코더, 카운터 등을 이용하고 새로운 이론과 새로운 소자들과의 융합, 결합을 통해 기존의 ... 커패시터 양단의 전압은 threads hold로 사용된다.지연 시간 W =1.1 *RC 식으로서 구할수 있다.(5)74ls47 7segment-74ls47의 진리표BCD코드 4자리를
    리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • 논리설계 및 실험 전자시계 결과보고서
    또, Enable값에 따라 7segment 값에 보일 값을 결정한다.현재 시계각각의 시간, 분, 초를 나타내기 위해 12진, 6진 10진 카운터를 사용하였고, am/pm을 결정하기 ... University정보컴퓨터공학전공 부산대학교2014년 12월 05일(화)요 약Term Project 전자시계 제작에 관한 보고서로 Project의 최종 결과 및 Project 작동 ... 002분반(화요일)논리회로 설계 및 실험23조 Term Project 결과 보고서(전자 시계)Dept. of Computer Science & Engineering/Pusan National
    리포트 | 9페이지 | 2,000원 | 등록일 2016.11.28
  • 전자공학과 디지털 회로 실험 및 설계 텀프로젝트 간이전압계 ppt자료입니다.
    디지털회로 실험 및 설계 Term Project프로젝트 주제 제작 동기 사용부품 및 공구 동작원리 실험결과 결론 2프로젝트 주제 작품명 : 간이 전압계 3제작 동기 4 디지털회로 실험 ... segment FND ▶ NOT Gate ▶ Decoder IC (74LS47) ▶ OP amp ▶ Encoder (74LS147) ▶ Regulator (Lm7805 )동작원리 6 ... 있는 간이 전압계를 생각하게 되었고 작품구상에 들어가게 되었다 .사용부품 및 공구 5 ▶ 만능기판 ▶ 납땜인두 ▶ 와이어스트리퍼 ▶ 프로브 ▶ AA Size 건전지 ▶ 스위치 ▶ 7-
    리포트 | 15페이지 | 2,000원 | 등록일 2016.04.06
  • Term Project (7세그먼트 실험) 보고서
    Term Project- 7세그먼트 실험 -과 목: 디지털회로실험 및 설계교수명:학 과:조번호:학 번:이 름:1. ... 실험이론1) 7세그먼트(FND)7세그먼트는 LED 8개가 내장되어 있는 소자로, 7개의 LED를 조합하여 숫자와 문자를 표시하고 1개의 LED로 점을 표시한다. ... 실험목표7세그먼트(FND)에 대해 알아보고 7세그먼트 디코더로 작동하는 논리회로를 구성하여 동작을 실험하고 결과를 관찰한다.2.
    리포트 | 13페이지 | 2,000원 | 등록일 2013.05.07
  • 전자공학과 디지털 회로 및 실험설계 텀프로젝트 간이 전압계입니다.
    디지털회로 실험 및 설계Term Project 최종 보고서학 과 : 전자공학과과 목 : 디지털회로 실험 및 설계팀 원 :담당교수 :보고서 제출일 : 2015년 6 월 7 일목차1. ... 사용부품 및 공구▶ 만능기판▶ 납땜인두▶ 와이어스트리퍼▶ 프로브▶ AA Size 건전지▶ 스위치▶ 7-segment FND▶ NOT Gate▶ Decoder IC (74LS47)▶ ... 그렇게 되면 첫 번째 OPAMP의 반전 입력단에 들어가는 전압은 9V이고 두 번째는 8V, 세 번째는 7V, … 아홉 번째는 1V가 들어가게 된다.Vin은 멀티미터의 프로브가 위치하는
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.06 | 수정일 2017.05.23
  • 디지털시계 Term Project
    이를 위한 FPGA를 설계하는데 있어서 1)CLOCK을 통해 시간을 재는 Counter(74161 symbol 활용)부분과 2)이 Counter의 신호를 받아 7 segment 신호로 ... 이 디지털 시계를 구현하기 위해 이번학기 실험에 사용한 한백전자의 실습용 KIT에 활용하였는데 이 KIT에 포함된 7 segment로 분과 초를, 12개의 LED로 (오전과 오후 표시를 ... 후 기6.참고문헌(1) 개요2005년 디지털 논리회로실험 Term Project의 주제를 디지털 시계로 정했다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.02 | 수정일 2019.05.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:24 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대