• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(90)
  • 리포트(90)

"HBE-COMBO II" 검색결과 1-20 / 90건

  • 전자전기컴퓨터설계실험2(전전설2) (2) HBE COMBO II SE VerilogHDL Lab
    HBE-COMBO Ⅱ-SE VerilogHDL Labpost-lab reportHBE-COMBO Ⅱ-SE VerilogHDL Lab(2주차)post-lab report과목명전자전기컴퓨터설계실험2담당 ... 실험 이론HBE-COMBO Ⅱ-SE VerilogHDL Labpost-lab report2.1. ... 실험 장비(1)Xlinx ISE(Integrated Synthesis Environment)(2)HBE-COMBO Ⅱ1.1.
    리포트 | 28페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    실험 장비HBE Combo-II SE3. Prelab(1) PROM, PAL, CPLD, FPGA에 대하여 차이점, 장단점을 조사하시오.a. ... Spartan-3 FPGA Attributes- 20만개의 논리 게이트가 구현 가능하다.(3) 본 실험 장비인 HBE Combo-II SE는 FPGA 칩의 동작을 실험 할 수 있는 ... 따라서 보다 선명한 빛을 통해 LED의 동작을 확인할 수 있다.- 이러한 입출력장치 이외에도 HBE Combo-II SE는 Power Block, Text LCD, FPGA Module
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 시립대 전전설2 Velilog 결과리포트 7주차
    실험 장비 및 부품(Materials of the Experiment)1) 장비노트북Xilinx ISE 프로그램HBE-Combo Ⅱ-DLD실험 과제Dynamic 7 Segment(1 ... 이론실험 장비시뮬레이션 결과와 실험결과 비교(1) Dynamic 7 Segment(2) PIEZO PIANO(3) Count With PIEZO결론 및 고찰실험 목적이번 실험은 HBE-COMBO ... II 내에 있는 7-Segment에서 간단한 수 표현에서부터 자리를 바꾸는 설계, Piezo의 주파수에 따라 달라지는 음계를 설계해보고 이 둘을 동시에 작동하게 하는 설계를 하는
    리포트 | 9페이지 | 2,000원 | 등록일 2021.12.11
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 2주차 예비+결과(코드포함) HBE-Combo2-SE board
    각각의 1-bit Full Adder가 An, Bn의 두 입력을 받고, 첫 번째 1-bit Full Adder에는 Cin 또한 입력으로 들어간다. ... 출력은 Half Adder와 마찬가지로 Sum과 C_out를 내보낸다.5)4-bit Ripple Carry Full Adder는 4개의 1-bit Full Adder로 이루어지는데, ... Carry는 AND gate의 출력이다.3)Module Instance Symbol을 이용하면, 직접 만든 회로를 Symbol화하여 필요한 경우 간편하게 불러와 사용할 수 있다.4)1-bit
    리포트 | 8페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 서울시립대학교 전전설2 2주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    LUTHBE Combo-II SE의 입출력 장치의 종류와 특성 HYPERLINK \l "주석8"[8] HBE Combo-II의 사양- Clock말 그대로 시계와 같이 기능을 하는데 ... 다른 용어로 7세그먼트 표시 장치(seven-sents, Devices) of this Lab(1) HBE Combo-II SE(2) Xilinx ISE를 구동하기 위한 컴퓨터 or ... section_id=36&doc_id=1284735# HYPERLINK \l "본문8" [8] HBE-Combo II, 그림 7 Hyperlink "http://www.hanback.kr
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.10.24
  • 시립대 전전설2 Velilog 예비리포트 8주차
    과제7segmentDynamic 7 SegmentPIEZO 3옥타브 ‘도’음 내기PIEZO PIANO74LS193A countersCount With PIEZO참고 문헌실험 목적이번 실험은 HBE-COMBO ... II 내에 있는 7-Segment에서 간단한 수 표현에서부터 자리를 바꾸는 설계, Piezo의 주파수에 따라 달라지는 음계를 설계해보고 이 둘을 동시에 작동하게 하는 설계를 하는 ... 표시해 줄 수 있는 최소의 장치HBE Combo 2 장치는 Common Cathode 방식을 따르며 High 값이 올 때 LED의 불이 들어온다.7segment Decode Data7segment
    리포트 | 13페이지 | 1,000원 | 등록일 2021.04.16
  • 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    구현된 결과물을 HBE-COMBO II-DLD 보드에 업로드하여 검증실험결과1. 8bits binary to BCDmodule bintobcd(in,w2,w1,w0);input [7 ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 전전설2 실험2 예비보고서
    ] 본 실험 장비인 HBE Combo-II SE 는 FPGA 칩의 동작을 실험 할 수 있는 여러 가지 입출력 디바이스 들이 있다. ... 실험의 내용1) 실험 준비물HBE-Combo-II-SE 키트Xilinx ISE Design Suite2) 실험 내용AND 게이트를 Schematic 방법으로 디자인하여 실제의 FPGA ... ] Combo 박스의 입력 장치 중 Button SW1을 사용하려면 FPGA 칩의 몇 번 pin에 연결하여야 하는지 Combo-II SE 활용설명서의 부록에서 조사하시오.
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    실험 장비HBE Combo-II SE3. ... HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법 ... Dynamic 7-Segment (FND array)- Static 7-Segment의 구조를 제어하기 위해서 1개의 7-Segment를 제어하는데 사용하는 I/O는 8개이다.- 제어되는
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(예비) / 2021년도(대면) / A+
    HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법 ... 실험 장비HBE Combo-II SE3. Prelab(1) In-Lab 실습 0/1의 코드를 작성하고, 각 단계별로 동작을 자세히 설명하시오. ... 구성 블록- 다음 상태를 결정하는 조합회로 블록- 현재 상태를 저장하는 순차회로 블록- 출력값을 결정하는 조합회로 블록b.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대학교 전전설2 9주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Materials(Equipments, Devices) of this Lab(1) HBE Combo-II SE(2) Xilinx ISE를 구동하기 위한 컴퓨터 or 노트북3. ... Reference (참고 문헌)- ADM1602K-NSW-FBS-3.3v.pdf- 전전컴실험2 교안PAGE \* MERGEFORMAT2PAGE \* MERGEFORMAT2 ... Pre-Lab Report- Title: Lab#09 LCD Control담당 교수담당 조교실 험 일학 번이 름목 차1.
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.20
  • 논리회로및실험 레포트
    실습목표: 1)HBE-Combo II-DLD Kit의 부품 중 7개를 조사하고 이해한다.2) Quartus II 프로그램을 설치 해본다.2. 실습내용:1. ... 이처럼 COMBO II에서는 SRAM을 통한 메모리 영역을동작할 수 있도록 각각의 라인이 분리되어 있습니다.2) 동작SRAM (Static Random Access Memory)과 ... 이러한 구성은 현재 PC의 RS232포트가 USB 포트로 변화하는 장비에서도 시리얼 통신을 할 수 있도록 하는 USB 포트를 구성하고 있습니다.2) 동작COMBO II에서는 FT232
    리포트 | 15페이지 | 1,000원 | 등록일 2024.07.14
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    실험 장비HBE Combo-II SE3. ... 실험 이론(1) 조합 논리 회로- 논리 곱(AND), 논리 합(OR), 논리 부정(NOT)의 세가지 기본 회로를 조합하여 구성한 논리 회로- 출력이 입력에 의해 결정됨.- 논리 게이트로만 ... 만듬.(3) Decoder- N비트의 바이너리 값을 2^N가지의 신호 중의 하나로 출력하는 로직- Encoder의 반대 로직임.(4) 3X8 Decoder- 3개의 입력선과 8개의
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    실험 장비HBE Combo-II SE3. ... Data Sheet4) (주) 한백전자 기술연구소 Combo-II SE 활용설명서 ... ASIC의 장점.- 제품의 고집적화, 소형 경량화, 성능 향상- 저전력화, 시스템 기술 보호- 경쟁력 강화- 신뢰성 향상을 통한 부품의 최적화, 공정의 단순화, 자동화- 기능의 복합화에
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    실습 1에서 Implement design에서 오류가 생기는 지는 아닌지, HBE-Combo-II-SE 설명서 부록에 나와있는 대로 pin을 맞게 설정했는지, pin을 입력할 때 세미콜론을 ... SW1을 사용하려면 FPGA 칩의 몇 번 pin에 연결하여야 하는지 Combo-II SE 활용설명서의 부록에서 조사하시오. ... 본 실험에서 사용하는 것은 Spartan 3 이므로 오른쪽 열을 참고하면 된다.Combo-2 SE 활용설명서 부록을 참고한 결과 Button SW1을 사용하려면 63번, LED1은
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 시립대 전전설2 Velilog 결과리포트 4주차
    실험 장비 및 부품(Materials of the Experiment)1) 장비노트북Xilinx ISE 프로그램HBE-Combo Ⅱ-DLD4. ... 큰지를 통해 비교 판별이 가능하다는 점도 확인하였다.결론이번 실험은 Verilog HDL 언어를 이용하여 감산기, 비교기 \를 설계하고 이를 FPGA에 다운로드 하여 스파르탄 보드(HBE_COMBO ... II) 기기에서 정상 작동하는지 확인해보는 실험이었다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    LUTHBE Combo-II SE의 입출력 장치의 종류와 특성 HYPERLINK \l "주석8"[8] HBE Combo-II의 사양- Clock말 그대로 시계와 같이 기능을 하는데 ... 0111B : 1000- 실험 결과i) Cin = 0 일 때 -> [Cout, Sum] = 01111ii) Cin = 1 일 때 -> [Cout, Sum] = 100004. ... 클럭은 순차회로의 filp-flop에서 반드시 필요하다.- Reset SwitchFilp-flop의 타이밍을 동기화하기 위해서 Reset을 활용한다.
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(예비) / 2021년도(대면) / A+
    실험 장비HBE Combo-II SE3. ... S-R 플립플롭- S-R 래치에 클럭을 추가한 회로SRCLKQ00Q0(이전 출력값)10101011(입력 금지)c. ... J-K 플립플롭- J=K=1인 조건을 제외하고, S-R 플립플롭과 동일한 상태를 제어함.- J=K=1인 조건에서 플립플롭은 클럭의 신호에 대하여 항상 출력값을 반전시킴.JKCLKQ00Q0
    리포트 | 16페이지 | 2,000원 | 등록일 2022.07.16
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    구현된 결과물을 HBE-COMBO II-DLD 보드에 업로드하여 검증기본지식1. ... 구현한다. oS_COM(SEG_COM)은 각 세그먼트 모듈(숫자 하나)을 켜거나 끄고 HBE-COMBO에서는 0일 때 켜진다. oS_ENS(SEG_DATA)는 7 세그먼트의 각 획 ... ----------------------------------------input iCLK, nRST;input [6:0] iSEG7, iSEG6, iSEG5, iSEG4,iSEG3
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 서울시립대학교 전전설2 4주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Materials(Equipments, Devices) of this Lab(1) HBE Combo-II SE(2) Xilinx ISE를 구동하기 위한 컴퓨터 or 노트북3. ... ) module instantiation을 활용한 방법ii) Behavioral level modeling 활용(3) Lab 3- 4-bit 가산기를 2가지 방법으로 설계하시오i) ... Behavioral level modeling(if문 활용)ii) Behavioral level modeling(assign문 활용)(4) Lab 4 (응용과제)- 4-bit Comparator를
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:50 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대