• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(27)
  • 리포트(26)
  • 논문(1)

"STD61 열처리" 검색결과 1-20 / 27건

  • STD61 열처리
    STD61 열처리1. 실험목적합금공구강을 퀜칭처리후 템퍼리하는 이유와, 퀜칭처리시 잔류응력의 제거와 미세조직의 안정화를 위하여 템퍼링하는 것을 이해시킨다. ... , 템퍼링 등의 열처리를 실험 실습함으로써 열처리 온도, 특히 오스테나이트화 온도와 템퍼링 온도에 따른 경도변화를 조사하여 고온에서 경도를 파악케 하는 데 그 목적이 있다. ... STD61 : Air Cooling?
    리포트 | 11페이지 | 4,300원 | 등록일 2008.09.16
  • 열간가공용 합금공구강 레포트
    불꽃모양열처리조건과 경도 열처리 온도 방법 Annealing Normalizing Quenching Tempering STD 61 850 노냉 850 공냉 1050 공냉 150~650 ... New 프로젝트 실습 III STD61 ( 열간가공용 합금공구강 ) 2 조목 차 소재특성 1 2 3 4 6 5 7 사 용 용도 열적특성 열처리실습 조직분석 결론 제조공정실습목 적 합금 ... . - STD61열처리에 따른 기계적 , 물리적 성질의 변화를 확인 할 수 있었다 . - Quenching 을 하면 경도값이 증가함 을 알 수 있었다 . - Tempering
    리포트 | 29페이지 | 2,000원 | 등록일 2020.12.05
  • 탄소량에 따른 퀜칭경도
    경도 시험 평균값은 61.7 로 나왔습니다 . STD11 시편을 로크웰 경도 시험 시편기로 경도를 측정해 줍니다 . ... 연마된 시편을 열처리 해주기 위해 STD11 시편을 950℃ 온도에서 넣고 30 분 동안 기다립니다 . ... SM45C STD112 번 연마된 시편을 열처리 해주기 위해 SM45C 시편을 850℃ 온도에서 넣고 30 분 동안 기다립니다 .
    리포트 | 7페이지 | 2,500원 | 등록일 2022.03.12
  • 일반기계기사-기계재료-이론정리북 [1달 합격자료]
    (열처리성과 내충격성 높음) - 공냉 담금질 OK1) STD11 : 금형용 다이소재. 상온, 고온에서도 경도가 뛰어남.2) STD61 : 고탄소강 + Cr, W, Mo, V. ... 알맞은 속도로 냉각해주는 열처리. ... 기계재료 작성자 : res1장 : 기계재료의 개요기계재료가 갖춰야할일반적인 성질1) 가공성(주조성, 소성, 절삭성, 용접성), 열처리성, 표면처리성이 좋아야 함.2) 물리화학적 특성
    리포트 | 16페이지 | 2,500원 | 등록일 2020.07.08
  • 직접회화법을 이용한 라면사리와 쌀소면의 조회분 함량 측정
    2.61%로 측정되었다(7). ... (시료의 종류에 따라 필요시 회화전 전처리를 행함) 회화도가니를 그대로 회화로에 옮겨 550℃에서 5시간 가열한 후, 회화가 끝나면 회화로 내에서 그대로 식힌 뒤, 데시게이터에 옮겨 ... 저렴하다는 특성이 있기에 제 2의 쌀이라고도 하며, 라면을 처음 만들어 낸 것은 일본이다(1).우리나라에는 라면이 60년대 초 등장했는데 우리 식문화에 본격적인 ‘가공 식품’ 시대를 연
    리포트 | 4페이지 | 1,000원 | 등록일 2019.09.15
  • STD61 템퍼링 온도에 따른 경도변화
    탬퍼링 온도에 따른 경도변화( STD61 )1. ... 이번 실험은 열간가공용 금형에 가장 널리 사용되는 합금 공구강인 STD61강을 사용하여 퀜칭 처리 한 후 템퍼링온도(200, 300, 500, 600)에 따른 기계적 성질 변화, 즉 ... 퀜칭, 템퍼링 등의 열처리를 실험 실습함으로써 열처리 온도, 특히 오스테나이트화 온도와 템퍼링 온도에 따른 경도변화를 조사하여 고온에서 경도를 파악하는데 그 목적이 있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2017.06.15 | 수정일 2018.06.11
  • Indophenol 적정법에 의한 환원형 비타민 C의 정량
    ±Std는 79.20±61.20으로 각각 측정되었다. indophenol 측정법은 실험 기기와 실험 할 때의 온도 등 불확도가 높아 보인다고 이 실험결과로 보아 판단하였다. ... 흰색의 결정성 물질, 수용성 비타민으로 산성이며 열에 약하고 중성 및 알칼리성에서는 불안정하여 산화되기 쉽다. ... ±std measured 0.15 ± 0.12, 0.09 ± 0.06, and 79.20 ± 61.20.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.07.24
  • [레포트] STD11 열처리 전후 경도 불꽃시험
    ,STD61 있습니다2.특성●정밀 가공성이 우수한 제품이다●열처리 후 표면과 중심과의 경도변화가 거의 없다●열처리후 변형이 적다. ... 2.특성3.화학조성4.열처리 조건과 경도-불꽃모양-STD11과 STS3 구별법-열처리 싸이클-열처리 전후의 경도5.열처리 조직6.용도7.고찰1.STD 11이란? ... 합금공구 강이며 약자는 S: Steel T: tool D:die-casting이고 종류로는 냉간가공용: STD1,STD11, STd12,STD2 열간가공용 :STD4,STD5,STD6
    리포트 | 6페이지 | 1,500원 | 등록일 2015.08.31 | 수정일 2015.09.29
  • 열간 금형강의 기계적 성질에 미치는 진공열처리와 염욕열처리 조건의 영향
    한국기계기술학회 김제돈, 박기호
    논문 | 8페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • Sampling 검사
    MIL-STD-105E MIL-STD-105 is a United State defense standard that provides procedures and tables for sampling ... - Total Variation(총 분산) = (s Bar)2 = (0.66)2 = 0.4316 - Sample Variation(샘플 분산) = (s Bar/C4)2 = (0.61 ... 상자로 부터 ni개의 제품을 샘플링 함N1N2N3N4N5NiNmN2Ni1단계 샘플링2단계 샘플링N5-------Lot(M상자)m상자N2개N5개Ni개샘플링 검사의 조건 제품이 lot로 처리
    리포트 | 31페이지 | 3,000원 | 등록일 2014.01.24
  • 합금공구강 STD11 열처리
    합금공구강 STD11 열처리1. 실험목적합금공구강을 퀜칭처리후 템퍼리하는 이유와, 퀜칭처리시 잔류응력의 제거와 미세조직의 안정화를 위하여 템퍼링하는 것을 이해시킨다. ... 열처리 후 연마를 제대로 안하면 탈탄층 때문에 우리가 원하는 경도가 나오지 않는 다.? ... , 템퍼링 등의 열처리를 실험 실습함으로써 경도변화를 조사하고 더블템퍼링을 하는 이유를 아는데 있다.2.
    리포트 | 11페이지 | 4,000원 | 등록일 2008.09.16
  • 금형관리 매뉴얼
    , STD61NAK80, STD61PA6-GF20NAK80, STD61NAK80, STD61NAK80, STD61열경화성 수지STD61(HRC48+2)STD61(HRC48+2)STD61 ... (SKD 61은 열처할 때 재료 자체가 뒤틀리는 특성을 가지고 있으므로 그에 따른 치수 변화 폭도 크기 때문에 황삭 가공을 하고 열처리 한 후 정삭 가공을 하는 것이 보편적이다. ... 정밀 금형 및 열처리 금형에 주로 사용된다.HR750열전도가 다른 금형의 3배이며 고온 일수록 성형 CYCLE을 단축할 수 있다.
    리포트 | 110페이지 | 5,000원 | 등록일 2009.02.12 | 수정일 2014.09.24
  • std11강의 특성
    실험목적전기로에서200℃까지 열처리 한 후 60분간 열처리되어진 STD11를 공냉후 공구강재의 순차적인 Quenching 방법 및 Tempering으로 인한 STD11 냉간공구강재의 ... 이론적 배경1)STD11 강의 특성2)열처리(Tempering,Quenching)3) 서브제로 처리(심냉처리)2. 실험재료3. ... 조직에 대해서의 차이점을 비교 분석 한다..Quenching 한 탄소강 : 열처리의 대표적인 것으로 담금질은 강을 경하게 만드는 열처리이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2009.06.30 | 수정일 2016.06.10
  • 열처리(SPS9)
    ※열간 금형 공구강열간 금형 공구강의 대표적인 것으로 SKD61(STD-61)이 가장 많이 사용되나 고온에서 사용되므로 최근 합금원소의 첨가로 열간 공구강의 종류가 다양해지고 있다.전반적으로 ... ◈ 스프링(Spring)강의 열처리 ◈?목표 : 스프링의 종류, 열처리 특성 및 열처리에 따른 기계적 성질을 알 수 있다. ... 시편을 열처리한다.(1) 표에 제시된 data를 사용하여 quenching 온도를 결정한다.(2) 열처리로를 지정된 온도까지 승온시킨다.(3) 승온된 열처리로 내에 시편을 조심스럽게
    리포트 | 4페이지 | 1,500원 | 등록일 2009.12.11
  • 특수강과 주철 및 침탄용강재의 합금원소의 영향j
    열간금형용 합금공구강재(STD 4 ~ 6, STD61, 62)의 주요 특성ㆍ가열, 냉각 시 열충격에 강하여 열간균열이 잘 일어나지 않으므로 열간에서 사용되는 공구에 적합하다.ㆍSTD ... 4 ~ 6 : 열간 다이스, 열간 절단인물, Die Casting등ㆍSTD 61, 62 : Die Block 등10. ... 공기 열처리강이라고도 한다.7.
    리포트 | 7페이지 | 3,000원 | 등록일 2008.09.15
  • 금형 재료에 이해
    (내소착성)플라스틱 사출KP4-HRC 25~30 (no Q-T), 필요에 따라 질화처리플라스틱 사출KP4M-HRC 30~35 (no Q-T) 필요에 따라 질화처리플라스틱 사출SKD61HB230HRC ... 열처리성으로는 열처리 후 담금질이 잘 되고 변형이 적을 것,균열 뒤 틀림 등이 적을 것등이 요구.열전도성이 좋을 것 금형의 냉각 또는 상온에 있어서 열전도도가 좋으면 그만큼 정확하고 ... 열처리시 마르텐사이트 조직을 형성 탄소량의 증가와 함께 퀜칭경도를 ow}
    리포트 | 117페이지 | 3,900원 | 등록일 2008.10.29
  • 사출금형부품의역할
    , 직상코아, 유압실린더 등 여러 가지가 있다.슬라이드코어 (Slide core)가이드레일 (Guide rail)바닥편 (Wear plate)열처리편 (Adjustment plate ... 밀핀은 일자로 설계하는것은 피하며 지그재그로 취출 밸런스를 고려하여 설치한다 * 냉각,오링,볼트와 3mm이상 띄운다 * 밀핀 가이드부는 Ø의 3배이상으로 준다재질 : Ø5 이하는 STD61 ... 하지만 보스는 치수정밀도를 요구하는 중요한 곳이고 슬리브핀이 보스 바닥을 직접 건드리기 때문에 치수관리 면에서 세심한 주의가 필요하다재질 : Ø5 이하는 STD61 Ø6 이상은 SACM645
    리포트 | 13페이지 | 3,000원 | 등록일 2010.05.30 | 수정일 2017.11.17
  • 2011한국기계전관련 보고서작성Machine Facilities
    고주파 열처리치면경도45 ~ 55HRC비고. ... FESTO제조년일 : 2011.NO.3제품명: SMZG 연마제롤 마이터 기어 , 연마제롤 베벨 기어사양:공 통 사 양정밀도 등급JIS B 1704 2급치형그리슨압력각20'재질S45C열처리치면 ... 1KD-1MKD-1KD-1M0.490.80.8/0.20.455(57)95(97)1.11.10.50.80.8/0.20.455(57)95(97)1.11.111.51.5/0.380.459(61
    리포트 | 6페이지 | 1,500원 | 등록일 2012.11.09
  • 합금강, 특수강, 주철의 특징 및 용도
    가장 많이 사용되는 것은 STD11,STD12,STD61이며 공냉에서 경화할 때 열처리 변형이 적고 Cr 량이 많으므로 부식에 강하다. ... 플라스틱 금형 강재로는 SCM440,S50C 및 S55C가 많이 사용되지만 특히 외관이 아름다운 성형품을 SKT4,SKD61이 좋다.SCM440 및 SNCM439는 열처리 후에 인장강도가 ... 최근에는 플라스틱 성형금형용으로 고속도 공구강이 생산되고 있다.열처리 온도가 1200∼1250℃로 합금 공구강보다도 높으며 열처리에 많은 주의가 필요하다.
    리포트 | 24페이지 | 1,000원 | 등록일 2008.03.10
  • 열처리 미세조직 강도 경도 측정
    열처리 조건에 따른 경도의 변화를 비교하여 열처리에 따른 미세조직의 변화를 분석한다.2.이론적배경1.열처리열처리 기술은 금속재료, 기계부품, 금형공구의 기계적 성질을 변화시키기 위하여 ... -대부분의 실제 열처리작업 에서는 항온변태에 의해서 강을 열처리하지 않고, 오스테나이트 온도 영역에서 상온까지 연속적으로 냉각시키는 열처리를 사용하므로 항온속냉각 변태곡선으로 전환시켜야 ... 전체 경화열처리 : 내부까지 경화된다.1) 조질처리 : 중탄소강, 구조용 합금강재(Sorbite 조직을 얻을 것)2) Q.T처리 : 중, 고탄소강, 구조용 합금강재3) 진공열처리 :
    리포트 | 15페이지 | 2,000원 | 등록일 2010.05.12
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대