• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(19)
  • 리포트(17)
  • 자기소개서(2)

"VHDL 설계 과제 보고서" 검색결과 1-19 / 19건

  • 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    이를 본 과제의 주제에 맞춰 진리표 형식으로 표현하면 다음과 같다. ... 논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드를 4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력한다.2. ... 하지만 본 과제에서는 최소식을 통한 회로 구성을 요구한다. 따라서 위의 경우와는 달리, 최소식을 이용해 SOP 방식으로 회로를 구성해야 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    과제VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. ... 동영상 제작주차별 계획1주차 : 계획 보고서 작성에 있어, 디지털 공학, 전자회로 과목 복습 및 VHDL 강좌 수강, 툴 설치(VAIVADO)_최신버전, 회로의 대략적인 구상과 동작 ... 원리 파악, coding source 구상 및 검색, 계획 보고서 제출2주차 : 8-bit ALU - VHDL로 코딩 및 Xilinx FPGA tool VIVADO로 임시 시뮬레이션
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 서울시립대 전자전기설계2(전전설2) 3주차 사전보고서
    2019년 전자전기컴퓨터설계실험23주차 사전보고서1. ... In-Lab 실습 1~5 과제들을 Verilog HDL 언어로 코딩하고 Synthesize ? ... 기반으로 하는 언어, 대소문자를 구분하지 않음, 현장 설계 기능 게이트 어레이 및 집적회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 위해 전자 설계 자동화에 사용되는 언어,
    리포트 | 8페이지 | 1,500원 | 등록일 2019.10.13
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    논리회로설계 실험 설계과제 보고서주제 : #2 STOPWATCH 설계1. ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다.2) 설계 목표VHDL을 이용하여 스탑워치를 만든다. ... 설계 배경 및 목표1) 설계 배경지난 설계과제를 통해 BCD가산기를 만들었고, 7segment를 통해 숫자를 표기하는 방법에 대하여 배울 수 있었다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치 ... 13~ STEP18에 해당하는 설계과제.STEP 13:표8 – display의 진리표위와 같이 3 bit 입력신호에 대한 decoder를 구현해보려고 한다.STEP 14:먼저 각 ... 번갈아가면서 LOW로 만들고 그때마다 A~G, DP의 디지털 값을 원하는 대로 바꿔 각각의 패턴을 출력한다면, 4개의 디스플레이가 마치 동시에 켜지고 있는 것처럼 보일 수 있다.설계과제STEP
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • VLSI Project-보고서-택시미터기
    블록도 작성, 설계 총괄(주설계), 제안 서 작성, 보고서 작성, 보고서 퇴고- 전체적인 설계(부), 제안서 퇴고, 보고서 작성- 테스트벤치 설계로 시뮬레이션 확인 후 보고서에 추가1 ... 서론1) 과제 개요 및 설계 내용일상생활에서 흔히 이용하는 이용수단인 택시의 미터기를 보고 지금 배우고 있는 VHDL을 이용하여 직접 구현해보면 재미있겠다는 생각에 이 주제를 선정했다.택시라는 ... 과 제 명taxi 미터기 구현담당교수제출일팀 원학 번이 름수행과제개요일상생활에서 흔히 이용하는 이용수단인 택시의 미터기를 보고지금 배우고 있는 VHDL을 이용하여 직접 구현해보면 재미있겠다는
    리포트 | 11페이지 | 2,000원 | 등록일 2018.11.18
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 ... 이를 사용하여 Xilinx(Spartan 3)를 이용하여 Stopwatch 코드를 설계하여 보았다.선언부 소스코드Stopwatch에서 사용될 input과 output을 선언해 주었다 ... 이와 같은 과제를 수행하며 디바운싱 코드와 분주기 설정 코드에 대하여 이해하였으며 stopwatch를 구현하는데 있어 필수적인 요소로 사용되었다.
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • (디지털시스템설계)VHDL Digital Stop Watch 제작 계획서
    팀원별 역할 총 관리 최종 보고서 발표 ( 조장 ) 자료 , 보고서 , 발표자료 제작 , 중간 계획서 발표 자료수집 및 설계 , 수정 자료수집 및 설계 , 수정감사합니다 . ... 과제의 필요성2. 과제의 목표 Final Destination LED 에 출력하는 장치 설계 구현 counter 신호 생성기 신호제어 모듈설계3-1. ... 내용 설명 및 팀원별 역할 3 Main Project 의 중요성 1VHDL 작성의 복습과 응용능력 실질적인 프로젝트에 적용하는 능력 .
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.01
  • 볼링스코어 레포트
    생각해 보기로 결정6월 11일최종 코딩- 올바른 결과 값 도출- 1차, 2차, 최종 코딩 내용 정리6월 13일프로젝트 보고서 작성2. ... 않는 이상, 유지 보수의 필요성이 없기 때문에 VHDL로 프로그래밍 하기 적합하다고 판단하였다.이 프로젝트의 목표는 논리회로를 VHDL을 이용하여 설계하여 실제 볼링장에서 사용할 수 ... 하기위한 논의6월 7일1차 코딩- Test Bench 전까지 코딩 완료6월 8일1차 코딩 내용 확인- Text Bench 결과 확인- 수정 보완할 점 논의2차 코딩- 개선 방향 개별 과제
    리포트 | 18페이지 | 5,000원 | 등록일 2011.08.26
  • VHDL을 이용한 엘리베이터(승강기) 설계 프로젝트
    많은 조언 부탁드립니다.팀원역할분담계획아이디어회의, 제안서, 최종보고서는 모두 모여서 같이하고 할 계획입니다. ... 서론수업시간에 배운 VHDL언어를 사용하여 우리 주변에 쓰이는 단일 엘리베어터를 설계해본다. 정원초과, 응급버튼, 엘리베이터이동에 중점을 두고 엘리베이터를 구현2. ... 하지만 여러 가지로 쉽지 않은 과제였습니다. 문을 빨리 닫고 문을 천천히 닫는 부분도 추가를 하려고 하였지만 어려워서 포기하게 되었습니다.
    리포트 | 19페이지 | 5,000원 | 등록일 2011.12.17
  • HBE-COMBOⅡ 를 이용한 주유기기 구현
    업무 분장-설계교과목 과제 최종보고서교과목 명VHDL 설계 및 실습책 임 자(팀장)성 명소 속학 번학 년연 락 처과제 명HBE-COMBOⅡ 를 이용한 주유기기 구현개발기간참여학생학번이름전공1 ... 설계교과목 과제 수행계획서교과목 명책 임 자(팀장)성 명소 속전자공학부학 번학 년연 락 처과제 명HBE-COMBOⅡ 를 이용한 주유기기 구현개발기간참여학생학번이름전공1. ... 과제 범위- Altera Quartus Ⅱ를 사용한 VHDL 디지털 논리회로 설계4.
    리포트 | 17페이지 | 3,000원 | 등록일 2010.11.28
  • VHDL을 이용한 IR리모컨 구현및 시뮬레이션과 데모
    2010년 12월 전자공학종합설계과제(2) 설계최종보고서IR remote-con Transceiver최아랑 전자공학과 20721767( Choi Ah Rang 20721767 )요 ... 서 론가정에서 사용하는 리모컨 송신부를 제작한다. ... 이번 설계에서는 TC9012포맷 형식을 따르도록 한다.가. TC9012의 Format 형식설계에 사용할 format형식을 나타내면 위의 그림과 같다.
    리포트 | 11페이지 | 4,000원 | 등록일 2010.12.27
  • VHDL 설계과제 LINE TRACER
    기 말 프 로 젝 트 보 고 서- VHDL 설계과제 : LINE TRACER -1. ... 프로젝트 고찰3.1 프로젝트 결과에 대한 고찰▶ VHDL를 통한 라인 트레이서 설계 프로젝트를 통하여 VHDL이라는 언어를 이해하고 사용에 익숙해지고 더불어 디지털 논리 회로 설계에 ... 작동을 VHDL을 통해 구현하기가 쉽지가 않았다.
    리포트 | 6페이지 | 5,000원 | 등록일 2012.03.11
  • 현대중공업 (대졸신입) 합격 자소서입니다.
    저 역시 정확한 상황분석에 의한 문제해결을 좋아하며 많은 전공프로젝트에서 그런 능력을 키웠습니다.논리회로설계 프로젝트에서 VHDL을 사용한 전자시계 반도체를 설계, 소프트웨어개론 프로젝트에서는 ... 영업마케팅 부서를 지원했으며 마케팅 리서치와 분석, 일간 및 주간 판매 보고서를 준비하였고, 또한 7년간의 Australian new car buyer's survey 자료를 정리 ... 평소의 성실함과 열의가 눈에 띄어 교수님께서 저희 팀에게 창의적 사고 훈련 수업의 개선 방안을 과제로 주셨습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2012.09.17
  • vhdl을 이용한 디지털 시계.(연습문제 풀이. Digital systems : principles and applications)
    제작 완료 PPT제작, 결과 보고서 작성각 조원 개인별 활동■ 코드 및 시뮬레이션① 6진 카운터 - 코드6진카운터-시뮬레이션10진 카운터10진 카운터 시뮬레이션60진 카운터60진 카운터 ... 제작.■ 목 표● VHDL을 이용 동기식 카운터를 이용한 디지털 시계를 설계.● VHDL의 코드를 이해하고 다루는 법을 익힌다.■ 설계 방향.VHDL을 이용한 설계로 시, 분, 초를 ... VHDL 언어의 사용 방법 습득- VHDL을 이용한 회로 설계 방법에 관한 필요한 사항을 개인별로 조사 후 종합 / 사용법 공유?
    리포트 | 13페이지 | 2,500원 | 등록일 2008.02.20
  • 로직웍스를 이용한 논리회로 구현
    2009년 논리회로 설계보고서제출자 이름제출자 학번제출자 분반담당교수마감일시제출일시이메일 주소점 수/ (점수/만점)--- 목 차 ---1. 시뮬레이터 요약2. ... 시뮬레이터 요약이번 과제에 사용한 프로그램은 Logic Works 5 로 로직웍스에서 제공하는 소자로는 74시리즈, 입출력 및 전원 관련 소자, 아날로그 소자, 디지털 게이트, 조합회로 ... , 스파이스(Spice) 회로, VHDL(VHSIC Hardware Description Language)등을 사용할 수 있다.
    리포트 | 42페이지 | 1,500원 | 등록일 2010.04.28
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    VHDL 최종 보고서목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 기대효과 일정계획 ( 블록도 ) 프로그램 소스설계의 목표 무엇을 만들 것인가 ? ... 11 월 9 일까지 : 소스코드 작성 11 월 16 일까지 : 부품 및 시장조사 11 월 23 일까지 : 회로 구성 11 월 30 일까지 : 실험 및 평가 12 월 7 일까지 : 과제
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • GS칼텍스 (대졸신입) 합격 자소서입니다.
    프로젝트에서 VHDL을 사용한 전자시계 반도체를 설계, 소프트웨어개론 프로젝트에서는 C language과 자료구조를 사용한 미로 찾기 프로그램, 전자회로 증폭기 설계, 전동기 제어 ... 영업마케팅과 부서와 해외 부서를 지원했으며 마케팅 리서치와 분석, 일간 및 주간 판매 보고서를 준비하였고, 무역과 distribution, 또한 7년간의 Australian new ... 평소의 아이디어와 열의가 눈에 띄어 교수님께서 저희 팀에게 창의적 사고 훈련 수업의 개선 방안을 과제로 주셨습니다.저희는 모든 학생들이 듣고 싶어하는 창의적 사고 훈련 수업에 목표를
    자기소개서 | 4페이지 | 3,000원 | 등록일 2012.09.17
  • [디지털설계] VHDL로 구현한 vending Machine(자동판매기)
    ━━━━━━━━━━━━━━━━━━━━{목원대학교 정보통신전파학부━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━{Term-Project 최종 보고서 ... ■ 개요03학년도 2학기 VHDL회로 설계 수업을 통하여 익힌 내용을 바탕으로 Vending Machine을 Altera MAX+를 이용하여 VHDL 언어로 코딩하고 Training ... 우리가 사용하는 자판기를 VHDL로도 구현할 수 있을 것이라 고 생각하고 이와 같이 주제로 선정하게 되었다.○ 추가된 IDEA: 인터넷와 참고서에 나타나 있는 소스들에는 기본적인 자판기의
    리포트 | 26페이지 | 3,000원 | 등록일 2003.12.12
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 27일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:39 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기