• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6)
  • 리포트(6)

"modulo 15 verilog" 검색결과 1-6 / 6건

  • [서울시립대] A+ 전자전기컴퓨터설계2(mealy,moore,코드포함) 7주차예비레포트
    때 Up이 1이면 Count Out를 UpClock이 Rising Edge일 때 Load Enable이 1이면 Count Out을 Load값으로 대체Count Out이 출력 범위(15 ... Active Low, Synchronous with Clock)Up/Down: External DIP Switch 1 (High→Up / Low→Down)Output : LED 1~8 (Modulo ... )를 넘어서면 다시 0부터 Count 시작=> module=> verilog text(처음에 오류가 있는 MODULE에서 베릴로그 텍스트를 추가하고 나서 그후에 MODULE을 수정하닌깐
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험13) 시프트 레지스터와 카운터의 설계
    시뮬레이션5④ 모듈로-N (Modulo-N) 카운터? 코드? 시뮬레이션53) 카운터를 리셋 시키기 위한 Verilog HDL 구문을 들고 설명하라.? 코드? ... PIN 입력clkruncnt_15[3]cnt_15[2]cnt_15[1]cnt_15[0]KEY[3]SW[0]LEDR[3]LEDR[2]LEDR[1]LEDR[0]? ... PIN 입력clkrstup_downcnt_15[3]cnt_15[2]cnt_15[1]cnt_15[0]KEY[3]SW[0]SW[1]LEDR[3]LEDR[2]LEDR[1]LEDR[0]?
    리포트 | 9페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • Sequential-Logic-Design-Ⅱ-FSM and Clocked-Counter
    Active Low, Synchronous with Clock)Up/Down: External DIP Switch 1 (High→Up / Low→Down)Output : LED 1~8 (Modulo ... 이 상태에서 10을 빼면 $5를 나타내는 LED에 불이 들어오게 되고 $15의 상태에서 $15만큼을 빼어 $0의 값을 나타내는 LED에 불이 들어오게 만들기도 하였다. ... HDL Module 이름을 선택한 다음 마우스 오른쪽 버튼을 클릭하여 New Source...항목 선택한다.Verilog Test Fixture 선택하고 파일 이름을 설정한다.
    리포트 | 25페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 전전컴설계실험2-9주차결과
    모든 실험에서는 Verilog Code를 사용하여 설계하여 결과값을 실험해보았다. ... exact w, Synchronous with Clock)Up/Down: External DIP Switch 1 (High→Up / Low→Down)Output : LED 1~8 (Modulo ... 이를 위해서 총 4개의 state를 설정하여 각각 0$, 5$, 10$, 15$로 정의하고 각 state에서 Input은 5$ 입력, 10$ 입력, 10$ 상품 선택, 15$ 상품
    리포트 | 18페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • [Flowrian] 10진 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    10진 카운터 회로는 0~9 범위를 카운팅 하는 카운터를 의미한다.10진 카운터는 0~15 를 셀 수 있는 4 비트 이진 카운터를 변형하여 0~9 범위만 카운팅하도록 설계한다. 10진 ... 카운터 회로의 동작은 Verilog 언어가 제공하는 2가지 방식, Behavior와 Structure 관점에서 논리동작을 모델링한다.설계는 Verilog 언어를 이용하여 모델링 되었으며 ... , 테스트벤치도 Verilog로 작성하여 시뮬레이션으로 논리동작을 검증하고 결과 파형을 분석하여 설계가 올바로 되었음을 증명한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2011.11.05
  • [Verilog] MP3에 들어가는 IMDCT를 수행하는 코드
    Verilog Code1. ... Xi의 값은 18개의 곱셈 결과를 저장해야 하기 때문에 18진 Modulo Counter가 필요하게 된다. ... 이때 output은 16비트가 되어야 하므로 32비트의 계산 결과를 소수점 이하 첫 번째 자리부터 15번째 자리까지 15비트를 넣어주고 MSB은 Sign bit 이므로 원래 결과의
    리포트 | 16페이지 | 1,500원 | 등록일 2010.09.09
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 18일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:30 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기