• 통큰쿠폰이벤트-통합
  • 통합검색(1,279)
  • 리포트(1,187)
  • 시험자료(71)
  • ppt테마(9)
  • 논문(4)
  • 자기소개서(4)
  • 방송통신대(3)
  • 이력서(1)

"sbcd" 검색결과 1-20 / 1,279건

  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2. ... 실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여 ... BCD 코드 및 논리회로모든 컴퓨터는 내부적으로 이진법에 의해 동작하지만 사람은 이진수를 사용하지 않는다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    설계 목표BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다. ... 논리회로설계실험 프로젝트 #1BCD to 7 segment 가산기1. ... ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    라인 디코더를 이용한, 4비트 2진값의 8비트 BCD로 변환하는 컨버터 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. ... first(P3, P2, P1, P0, a1, b1, c1, d1, e1, f1, g1);//14// BCD_to_7segment second(P7, P6, P5, P4, a2, ... _7segment 형 모듈 first를 선언하며 인자로 P3, P2, P1, P0, a1, b1, c1, d1, e1, f1, g1 을 전달함.//14// BCD_to_7segment
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 디지털논리회로실험(Verilog HDL) -BCD counter, HEELO shifter
    control operation⓷Trigger list-Conditionally “execute” inside of always block< 중 략 >2.실험2.1 Part Ⅳ : BCD ... Each digit should be displayed for about one second. ... Use a counter to determine the one second intervals.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • BCD-seven segment
    BCD를 seven segment로 변환하는 회로를 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity bcd_7_seg isport ... ( x:in std_logic_vector ( 3 downto 0 ); seg:out std_logic_vector ( 6 downto 0 ));end bcd_7_seg ... ;architecture test of bcd_7_seg isbeginprocess(x)beginif ( x="0000") thenseg
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • 디지털 시스템 실험 기본적인Combinational Circuit(Decoder, Binary-to-BCD Convertor, BCD-to-7 segment Decoder) 결과보고서
    설계, BCD-to-7 segment Decoder 설계)실험목표2-to-4, 3-to-8 라인 디코더를 설계한다.Binary-to-BCD Convertor 설계한다.BCD 입력을 ... 디지털 시스템 설계 및 실험 결과 보고서작성자:학번:실험조:실험일:실험제목기본적인Combinational Circuit(Decoder 설계, Binary-to-BCD Convertor ... 7 segment로 출력하는 decoder를 설계한다.실험결과토의2to4 decoder 는 입력이 2개 출력이 4개이므로, 각각에 해당하는 변수를 정의한다. decoder에서 result1
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • 4 bit BCD adder.subtraction
    ;entity BCD isport ( a, b:in std_logic_vector(3 downto 0);s:out std_logic_vector(4 downto 0));end BCD ... 부호를 갖는 4 bit BCD adder/subtraction을 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all ... ;architecture test of BCD issignal c:std_logic_vector(4 downto 0);signal k:std_logic;beginc
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • [verilog]BCD 덧셈기와 Finite state machine을 사용하는 간단한 게임기 설계
    (cout=0)●임시 저장 값 sum_temp)은 5비트인데 이 중 최상위 비트는 보정 여부를 판단하기 위해 사용하였으므로 값을 버리고 4비트 값만 sum에 저장하게 된다. ... ●BCD 1자리는 4비트로 구성되기 때문에 입력 값을 4비트로 받는다.●BCD 1자리와 1자리를 더해서 캐리가 발생하면 cout에 저장한다. ... ●보정 여부를 결정하기 위해서 덧셈의 임시 저장 값(sum_temp)을 5비트로 지정하였다.●덧셈을 하여 9가 넘으면 6을 더해서 보정하게 된다.
    리포트 | 17페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2023.03.10
  • 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    BCD input에 대하여 7bit output이 나오는 BCD to 7segment의 code이다.4. ... binary to BCD converter에 통과시켜 각각 2개의 4bit의 input을 BCD to 7-segment에 입력하여 7-segment controller를 통해 1의자리 ... BCD to 7segment가 최종적으로 잘 작동하는지 FPGA보드에 연결하여 실험해보았다.
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • [토끼] BCD to EX-3 code converter (sequential circuit)
    한 순서BCD inputEX-3 output1st 2 3 41st 2 3 40 0 0 01 1 0 00 0 0 11 1 0 10 0 1 01 1 1 00 1 0 01 0 1 00 ... BCD 를 Excess-3 code로 변환하는 순차회로를 설계하는 실습으로, 하드웨어를 HDL 로 기술하여 simulation 으로 검증하고 FPGA에 구현한다. ... 입력에 BCD 를 LSB부터 클럭이 입력될 때마다 순차적으로 인가하고 출력에 LED 를 연결하여 순차적으로 표시하여 확인한다.4.Background1. specificationinput
    리포트 | 7페이지 | 5,000원 | 등록일 2011.04.10 | 수정일 2020.07.10
  • verilog를 이용하여 0~99까지 segment에 출력할수있는 bcd카운터 설계
    = ~BCD1[3];assign jj3 = jj3_in;always se_clk1 = BCD1[0];always se_clk2 = BCD1[1];always se_clk3 = BCD1 ... jj3,kk0,kk1,kk2,kk3;output [3:0] BCD1, BCD0;reg [3:0] BCD1, BCD0;output [1:7] seg0;output [1:7] seg1; ... (BCD1) //세그먼트 display0000: seg1 = 7'b1111110;0001: seg1 = 7'b0110000;0010: seg1 = 7'b1101101;0011: seg1
    리포트 | 9페이지 | 1,500원 | 등록일 2008.07.31
  • bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
    Prelab에서 구현한 결과와 실제 실험에서 구현한 결과가 일치한다.그림에서 bcd 코드에 3을 더한 값이 excess3로 출력됨을 볼 수 있다.Timing simulation ... Specify the simulation results (for assignments 1 and 2) obtained in the class in detail.Functional simulation ... Prelab에서 구현한 결과와 실제 실험에서 구현한 결과가 일치한다.실제 코드를 보면 bcd 코드에 3을 더한 값이 excess3로 출력됨을 볼 수 있다.
    리포트 | 19페이지 | 3,000원 | 등록일 2008.06.01
  • VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    ieee;2: use ieee.std_logic_1164.all;3: entity bcd2excess3 is4: port(bcd :in std_logic_vector(3 downto ... 0);5: excess3 : out std_logic_vector(3 downto0) );6: end bcd2excess3;7: architecture behavioral of bcd2excess3 ... is8: begin9: process (bcd)10: begin11: case (bcd) is12: when “Z
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • 논리회로설계실험 BCD가산기 레포트
    그 결과 입력된 두 수를 더해줄 BCD Adder 코드, BCD를 7 segment로 나타낼 7 segment 디코더, 그리고 BCD Adder의 계산 결과를 7 segment로 전해줄 ... 이용해 segment 각각에 값을 넣는다.4) main문에서 BCD 가산기와 BCD to 7-segment를 불러온다.5) Simulation을 이용해 BCD 가산기를 검증한다.4 ... ) BCD to 7 segment Decoder앞에서 언급한 바와 같이 BCD는 10진수 숫자를 4비트 코드로, 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. ... [그림 10] [그림 11]Graphical symbol은 [그림 11]과 같으며 진리표를 [그림 12]에 나타내었다. 10~15에 해당하는 BCD코드에 대응되는 출력은 나타내지 않았다
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    이번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다. ... X=x3x2x1x0이라하고 Y=y3y2y1y0이라하고 둘의 합인 S를 s3s2s1s0이라고 하자. 명백하게 X+Y≤9가 된다면 합은 2개의 4비트 이진수의 합이 된다. ... Exp#6.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 아주대학교 논리회로실험 / 8번 실험 Counter 에비보고서
    동조되는 알파벳을 7-segment의 데이터 시트를 같이 참조한다.2. ... 특정핀 (2, 3번 핀)을 GND에 연결시키는 초기화과정을 거치면서 결과를 확인한다.1. 7447 소자로 위와 같이 회로를 구성한다.2. 7 segment를 연결 할 때 데이터 시트에서 ... 따라서 이후 클럭이 진행됨에 따라 BCD 카운터는 2진수 기준 하나씩 값이 증가하는 위와 같은 진리표를 구성할 것이라 예상해 볼 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 실습 12. stopwatch 설계_예비보고서_중앙대_아날로그및디지털설계실습
    연결 BCD 카운터 출력 4bit 을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment 에 연결한다. ... (Frequency : 1Hz, Function : squarewave, Amplitude : 0~5V) (B) (A)에서 생성된 Clock 신호를 BCD 카운터(10 진 카운터)에 ... 이때 Decoder 와 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    BCD 각 4자리를 7-Segment로 출력하는 회로module svnseg(in,seg);input [3:0]in;output [6:0]seg;reg [6:0] seg;always ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계2.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 홍익대학교 전전 실험1 부호기 예비보고서
    이때 필요한세그멘트(segment)수는 7개 이다.BCD-to-seven segment 위치와 숫자모양BCD-to-seven Segment 모형도BCD-to-seven Segment ... segment 디코더BCD-to-seven segment디코더는BCD로된10진수를입력하여 일곱개의 출력을 내보는 회로로 일반적으로 10진수를 디스플레이(Display)하기 위하여 ... 14 × 2 인코더 진리표논리 회로도2.3 십진 수치의 표시십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.12.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:38 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대