• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,516)
  • 리포트(3,348)
  • 시험자료(105)
  • 자기소개서(31)
  • 논문(13)
  • 서식(8)
  • 방송통신대(7)
  • 이력서(3)
  • ppt테마(1)

"seg" 검색결과 1-20 / 3,516건

  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    (선택사항) 가산기와 연결하여 계산결과를 표현하는 7-segment 계산기 구현실험결과실험에서 사용된 코드는 아래와 같습니다..//1// module segment(Ain, Bin, ... first(P3, P2, P1, P0, a1, b1, c1, d1, e1, f1, g1);//14// BCD_to_7segment second(P7, P6, P5, P4, a2, ... 형 모듈 first를 선언하며 인자로 P3, P2, P1, P0, a1, b1, c1, d1, e1, f1, g1 을 전달함.//14// BCD_to_7segment 형 모듈 second를
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    .- 원하는 시간을 setting 할 수 있는 기능을 포함해야한다.- 위의 기능 이외에 디지털시계에 추가 기능을 추가할 수 있다.- AM, PM 표시 가능월~일까지 요일을 LED로
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • #21 축구가 영향을 주는 점 eg 국제간의 긴장완화
    250 words.You should spend about 40minutes on this task.You should give reasons for your answer using ... sporting events such as the Olympics andthe Football World Cup in which athletes show their best performance ... easing internationaltensions and releasing patriotic emotions in a safe way.You should write at least
    리포트 | 1페이지 | 4,000원 | 등록일 2012.01.20 | 수정일 2020.12.01
  • Evaluator-7T를 이용한 7-seg와 LED 제어
    목 10 조전자공학실험 2(#3, Evaluator-7T를 이용한 7-seg와 LED 제어)#목 10조 7-seg와 LED 제어1.TitleEvaluator-7T를 이용한 7-seg와 ... LED 제어2.Name3.AbstractEvaluator-7T를 이용하는 첫 번째 실험으로 S3C4510B microcontroller에 대해 이해하고, 7-seg와 LED를 사용하여 ... - Rm상수값만큼 LSL - Rm, LSL #shift_imm레지스터값만큼 LSL - Rm, LSL Rs상수값만큼 LSR - Rm, LSR #shift_imm레지스터값만큼 LSR
    리포트 | 35페이지 | 3,000원 | 등록일 2010.10.17
  • 디지털시스템설계 7-segments 실습보고서
    FND_COM, seg0 ~ seg3 중 하나의 값이 변하면 always문을 실행하게 되는데, 이 때 FND_COM은 cnt4의 값에 의해 해당하는 segment를 선택한다.FND_COM의 ... 그 외의 내부 신호는 ent_time0, cnt64k, cnt4, regseg0 ~ regseg3, seg0 ~ seg3으로 선언하였다.1초를 생성하는 코드이다. ... FND_DATA에 seg2값을 저장하여 세 번째에 있는 숫자를 출력하고, FND_COM이 1000, 0100, 0010도 아닐 때에는 FND_DATA에 seg3값을 저장하여 맨 오른쪽에
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 비효율적호흡양상, 피부손상, 고체온 간호진단입니다(에이플받았습니다, 주/객 자료 꼼꼼합니다)
    대상자는 2일 이내에 CRP, seg, mono의 수치가 전보다 줄어들 것 이다.-> 달성하지 못함 9/5 CRP : 9/5 H15.491, seg : H77.3, mono : H8.63 ... 대상자는 3일 이내에 albumin의 수치가 전보다 늘어날 것 이다.-> 달성하지 못함 9/5 L2.4간호진단 #3 hs-CRP 13.411, seg 80.2, mono 9.6로 나타나는 ... 대상자는 5일 이내에 CRP, seg, mono의 수치가 전보다 줄어들 것 이다.3. 대상자는 7일 이내에 그렁거리는 소리가 줄어들 것 이다.간호계획1.
    리포트 | 5페이지 | 3,000원 | 등록일 2020.05.04
  • 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    BCD 각 4자리를 7-Segment로 출력하는 회로module svnseg(in,seg);input [3:0]in;output [6:0]seg;reg [6:0] seg;always ... @ (in) begincase(in)0: begin seg ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 간호과정) 감염과 관련된 고체온 (간호진단1, 간호과정1)
    Lap 검사결과 : Ketone(양성), WBC(감소), MCV(감소), PDW(감소), seg.Neutrophil(증가), Lymphocyte(감소), ASO정량(증가)진단감염과 ... 약물 복용 : pazeron inj., Esiple N Powder, Setopen tab, Anyfen syr5. ... 처방된 해열제를 투약한다.2. chiling sing이 있을 경우 이불을 잘 덮어준다.3. 가족의 지지를 받을 수 있도록 한다.4. 서늘하고 조용한 환경을 제공한다.5.
    리포트 | 2페이지 | 1,500원 | 등록일 2020.07.10
  • 충북대 디지털시스템설계 결과보고서5
    해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... 그리고 앞에서 cnt4에 따라 선택된 segment에 표시될 숫자를 정의한다.FND decoder codebin2seg 모듈은 입력된 10진 숫자들을 7-segment 출력에 맞게 ... 다음 always문에서는 4개의 7-segment 중에 하나를 선택하기 위한 counter를 설계한다.1sec counter를 통해 7-segment에 출력할 데이터를 계산한다. 4개의
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 성인간호학 감염위험성 간호과정(sectionectomy op)
    MPV(11.3↑), neutrophil(12.75↑), ANC(1276↑)11/22CBC: seg neutrophil(80.9↑), lymphocyte(9.5/L), CRP (3.31 ... motor(G5)3. lab검사 수치를 사정한다.11/21CBC: WBC(14.35↑), MPV(11.3↑), neutrophil(12.75↑), ANC(12.76↑)11/22CBC: seg ... 대상자는 5일 이내에 V/S을 정상 수치로 유지할 것이다.4.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.05.08
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    본 토의에서는 실험4의 코딩에 대해서 설명하고자 한다.총 4개의 7segment의 자리 수를 나타내는 변수 seg_com 선언각각의 7segment에 나타나는 변수 seg_data ... seg_com, seg_data를 설정해준다.Load일땐 up혹은 down중이던 카운터가 멈추고 원하는 값을 불러올 수 있도록 설정한다. ... , tens, ones)을 segment에 나타날 수 있도록 seg_data에 입력code실험5) 8-bit 2’s complement signed binary 입력을 받아서 이를
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 호흡기아동간호과정입니다! 간호계획과 우선순위 자세하게 되어있습니다!
    식욕 저하, 영양불량(seg.Neutrophil▼)? 변비(3일 동안)심혈관계 문제? 빈혈 의심(Hb▼)수분전해질 문제? 탈수증 의심(P▲)피부문제? 계란 흰자 알레르기? ... 간호과정 (간호진단 1순위에 대한 간호과정 적용)#1. 과다한 기관지 분비물과 관련된 비효율적 기도 청결영역내용근거자료주관적 자료? ... Chest PA: R/O bronchiolitis both lungs간호진단#1. 과다한 기관지 분비물과 관련된 비효율적 기도 청결간호목표?
    리포트 | 2페이지 | 1,000원 | 등록일 2021.04.16 | 수정일 2021.10.02
  • EGS경영에 대한 사례 제시하고 의견을 나누십시오.
    EGS경영에 대한 사례 제시하고 의견을 나누십시오.-목 차-1. EGS경영이란?2. EGS경영에 대한 사례를 제시하고 그에 따른 나의 의견을 제시하기3. ... 참고자료EGS경영에 대한 사례 제시하고 의견을 나누십시오.1. EGS 경영이란? ... 우리나라 기업인 우리은행, 한국전력, SK에코플랜트, 현대자동차 등이 EGS 경영을 표방하는 대표적 기업이라 할 것이며, 이러한 EGS 경영을 통해 기업은 대외 이미지를 쇄신할 수
    리포트 | 4페이지 | 8,900원 | 등록일 2023.02.03
  • A+ 뇌수막염 간호과정
    머리카락과 옷이 땀으로 젖어 있는 것과 체온이 38.5℃, 설사2회, 구토1회, 밥을 잘먹지 못하는 것, 입원후 체중이 1.2kg 감소한 것을 관찰하였다.혈액검사에서는 WBC, seg ... 감소⑥ BT 38.5°C⑦ WBC 14.61▲⑧ seg.neutrophil 88.9▲⑨ LDH 315▲⑩ Albumin 4.5▲⑪ Creatinine 0.37▼⑫ protein(CSF ... “(보호자)③ side rail에 앉아있는 모습 관찰⑫ protein(CSF) 48.8mg/dl▲간호진단2.
    리포트 | 16페이지 | 3,000원 | 등록일 2023.06.23 | 수정일 2023.06.26
  • 아산병원 채용과정 및 기출문제 복원 자료 (최신경향)
    : 비정상정인 부분 프롬보플라스틴 시간, 비정상적인 프로트롬빈 시간, 좌심실 분절의 운동상실, 동맥의 절개, 심방 세동, 심방 점액종, 뇌종양, 경동맥 협착, 뇌동맥류, 응고병증(eg ... : 비정상정인 부분 프롬보플라스틴 시간, 비정상적인 프로트롬빈 시간, 좌심실 분절의 운동상실, 동맥의 절개, 심방 세동, 심방 점액종, 뇌종양, 경동맥 협착, 뇌동맥류, 응고병증(eg ... syndrome, 물질 남용, 혈전용해제 치료, 치료-관련 부작용(심폐 우회, 투약)○ 목표: 뇌압 상승 징후가 없으며, 뇌 관류압이 60-150mmHg를 유지한다.
    자기소개서 | 142페이지 | 5,000원 | 등록일 2021.09.18 | 수정일 2023.04.19
  • NCLEX 요약정리 (+NGN 포함) - 성인간호 immune
    기형 (eg, swan neck, ulnar deviation 편위), stiffness 뻣뻣 (particularly in the morning)- treatDisease-modifying ... glucocorticoids (eg, prednisone) > 증상 관리 및 통증 조절- Osteoarthritis 골관절염NOT cause significant morning stiffness ... )*Opioids (eg, oxycodone) > 의존성, S/E risk 때문에 초기 사용 X- Fibromyalgia 섬유근육통> 피로 및 수면장애 관련 widespread burning
    시험자료 | 9페이지 | 4,000원 | 등록일 2024.02.20 | 수정일 2024.02.26
  • 건국대학교 전기전자기초설계및소프트웨어실습 12주차 레포트 A+
    , seg_data[FND0][5]);digitalWrite(pinG, seg_data[FND0][6]);digitalWrite(pinDP, seg_data[FND는 숫자 index에 ... , seg_data[FND2][5]);digitalWrite(pinG, seg_data[FND2][6]);digitalWrite(pinDP, seg_data[FND2][7]);delay ... , seg_data[FND3][5]);digitalWrite(pinG, seg_data[FND3][6]);digitalWrite(pinDP, seg_data[FND3][7]);delay
    리포트 | 11페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • NCLEX 요약정리 (+NGN 포함) - 성인간호 Integumentary
    nasogastric tube, IV fluids)- high risk for fatal complications (eg, sepsis, multiple organ dysfunction ... Stevens-Johnson syndrome (SJS)immune-mediated, acute skin reaction 면역매개 급성 피부 반응> triggered by certain ... classes of medications (eg, anticonvulsants [eg, lamotrigine]) 특정 약물에 의해 유발- 초기에는 nonspecific 비특이적 and
    시험자료 | 8페이지 | 4,000원 | 등록일 2024.02.20 | 수정일 2024.02.26
  • NCLEX 요약정리 (+NGN 포함) - 성인간호 endocrine
    , hypovolemia, hypotension)Psychologic stressors (eg, fear 공포, anxiety 불안)Medications (eg, albuterol) ... , Hypoglycemia, Drugs (eg, cocaine, tobacco)Disease processes (eg, hyperthyroidism 갑상선 항진증)- Hyperthyroidism ... heart rate >100/min)Temporarily 일시적으로는 부작용 없이 견딜 수 있으나prolonged 장기간 or significantly elevated 크게 증가할 경우 straining
    시험자료 | 24페이지 | 10,000원 | 등록일 2024.02.20 | 수정일 2024.02.26
  • Applied English Phonology (AEP) 3-4장 요약
    / 앞에서 eg) special, voiced stops 앞에서 eg) bed, dead. ... /는 폐쇄음절과 개방음절 모두에 사용 eg) spa, car, prom2) /?/는 obstruent로 끝나는 폐쇄음절에서 사용 eg) hot, posh(2) Mid back. ... released in cases in which the stop is followed by a homorganic nasala) the nasal is syllabic eg) button
    시험자료 | 12페이지 | 3,500원 | 등록일 2023.07.21
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:19 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대