• 통큰쿠폰이벤트-통합
  • 통합검색(143)
  • 리포트(140)
  • 자기소개서(2)
  • 이력서(1)

"verilog led 동작" 검색결과 1-20 / 143건

  • led로 문의 동작을 표현하는 엘레베이터 구현(verilog HDL,FPGA board)
    status로 "11" 문이 열리고 닫히는 상태 "10" 상승상태 "01"하강상태 "00"정지상태로 나누고 각각 상태일 때 외부 입력이나 내부 입력이 들어올 때 다음 상태를 판단하고 동작합니다
    리포트 | 34페이지 | 3,000원 | 등록일 2013.12.28 | 수정일 2013.12.30
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    Digital Design with an Introducton to the Verilog HDL 5thedition3) 연세대학교 정보통신용 SoC설계연구실 Verilog 문법 교안4 ... Post-reportBasic Gates in Verilog실험날짜 :학번 :이름 :1. Introduction가. ... 실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로를 설계하는 여러 가지 방법론을 학습한다.
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 전전설2 3주차 실험 결과레포트
    (a : Button SW1(p63), b : Button SW2(p67), x : LED1(p191))6. 장비에서 동작이 잘 되는지 확인한다.나. ... (a : Button SW1(p63), b : Button SW2(p67), x : LED1(p191))6. 장비에서 동작이 잘 되는지 확인한다.다. ... 장비에서 동작이 잘 되는지 확인한다.라. 3가지 방법으로 Two-input XOR 케이트 설계1. Fil는 사진이다.
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 1 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA를 이용하여 AND gate를 설계한 후 led동작을 확인해본다.Chapter 2. ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 구현 등의 용도로 사용가능하다.- HDL을 사용해 설계를 할 경우 회로도 작성 대신 언어적인 형태로 전자회로의 기능을 구성한다.- 회로를 구성하는 Synthesis 부분과 회로의 동작
    리포트 | 4페이지 | 2,500원 | 등록일 2023.02.28
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를 이용하여 동작을 시험하시오(입력: BUS SW, 출력: LED1~4, 테스트 입력 값: a[3:0] = 0011, b[3:0] = ... / S: LED9- 테스트 벤치는 다음의 코드를 사용한다.- 나와야 하는 결과4. ... 시험하시오(입력 : button SW, 출력 : LED).1. my_and2 라는 이름의 project를 만든다.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 전가산기 설계 보고서
    캐리를 C에 할당하고 더한 결과를S에 출력함으로써 전가산기의 동작을 그대로 표현했다.Verilog를 이용해서 동작을 모델링하며 설계 할 수 있으며, 디지털 회로구현에 논리 연산보다는 ... [3-8]동작 표현을 이용한 설계pin할당input : 슬라이드 스위치(SW0~SW7)output : LED(D8~D15)핀 할당입력 x, y, z를 덧셈으로 산수 연산한 후 발생한 ... 디지털 신호의 비트 수가 증가해도 동일하게 동작할 경우 비트 수에 관계 없이 Verilog 코드의 양이 늘어나지 않는다는 것이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.11.20
  • 시립대 전전설2 A+ 6주차 예비레포트
    클럭 포트 사용, Pin 번호 B6)출력: LED1~4 (LED1이 MSB, modulo-16 방식으로 동작)비동기 reset 기능(Button SW0) -> 출력값을 4’b0000으로 ... 클럭 (1/4)Hz: LED3에 깜박임으로 나타나도록7) 응용 과제다음 회로를 디자인하시오.시뮬레이션 및 장비동작초기값: Q[0] ~ Q[4] = 5’b10111출력: Q[0] ~ ... 빠름Verilog HDL의 +/- 연산자를 이용하여 쉽게 모델링이 가능함Ex) 8-bit Up CounterActive-low 비동기(asynchronous) 리셋을 가지는 8비트
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    (입력: BUS SW, 출력: LED1~4)(1) Verilog HDL와 simulation비트연산자를 통한 4bit_x실제 핀LED 12LED 11LED 10LED 9[실습6]: ... 즉, HDL은 소프트웨어의 동작을 명령하는 소프트웨어 언어인 C, C++, JAVA과 하는 역할이 다르다.(2) Verilog HDL과 VHDLVerilog HDL과 VHDL는 모두 ... (입력: button SW, 출력 : LED)(1) Verilog HDL와 simulation비트연산자를 통한 and(2) 자세한 실험 방법1) new project를 만든다.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 논리회로및실험 레포트
    실습결과:(1) 처음 RESET버튼을 누르면 반응이 일어나지 않는다.(2) CLK버튼을 누르면 LED가 켜지면서 작동한다.(3) RESET버튼을 누르면 모든 LED가 꺼지고 작동을 ... 하단의 진리표(truth table)에 따라 동작하는 Hyperlink "http://terms.naver.com/entry.nhn? ... LED로 나타나지는 신호가 어떤 것을 의미하는지 알고 싶었지만 아직 알아내지 못하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.07.14 | 수정일 2024.07.20
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    Digital Design with an Introducton to the Verilog HDL 5thedition3) 연세대학교 정보통신용 SoC설계연구실 Verilog 문법 교안4 ... Conclusion- Verilog HDL 언어를 여러 가지 방법론을 통해 Combinational Logic을 설계할 수 있다. ... 실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 시립대 전전설2 A+ 2주차 예비레포트
    : 1Hz로 세팅입력 d -> Button SW1출력 q -> LED1출력 qbar -> LED83.2. ... 기술(표현)할 수 있는 언어- 하드웨어 소자, 구조, 동작 등을 텍스트 기반으로 표현 가능- 입출력 논리 간의 관계 및 동작을 기술- 시뮬레이션 및 검증대표적인 HDL- VHDL: ... 실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Verilog의 Gate Primitive를 사용하여 간단한 로직에 대하여
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여 ... 구현하는 방법을 익힌다.- Verilog로 구현한 Adder를 FPGA보드의 7segment를 통하여 구현하는 방법을 익힌다.3 관련 이론1. ... BCD 코드 및 논리회로모든 컴퓨터는 내부적으로 이진법에 의해 동작하지만 사람은 이진수를 사용하지 않는다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 충북대 디지털시스템설계 결과보고서5
    실험목표(1) LED Controller를 verilog로 설계하고 FPGA 보드로 결과를 확인한다.(2) FND Timer를 verilog로 설계하고 FPGA 보드로 결과를 확인한다 ... KEY 입력마다 해당되는 LED의 출력이 0이 되고 마지막 KEY 입력에서는 모든 LED의 출력이 0이 되는 것을 확인할 수 있다.또한 실습시간에 FPGA 동작을 촬영하지 않아 사진을 ... 마지막 assign문으로 LED의 출력을 결정하는데 Mode_Switch가 0이면 위에서 설정한대로 동작하고 1이면 KEY [8:0] 입력을 통해 결정된다.UCF codeUCF 파일을
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    : LED9나. ... 테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를 이용하여 동작을 시험하시오. ... (simulation 과 동작 검증은 In-lab 에서 실행함)이 과정은 수행 과제에 사진으로 첨부하였다.2.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 시립대 전전설2 A+ 7주차 예비레포트
    아래의 테스트 입력을 사용하여 상태천이도 동작을 확인할 것. ... 설계방법을 학습설계한 로직을 시뮬레이션하기 위한 테스트 벤치를 작성하고 장비로 동작 실험1.2. ... 필요하다.사람의 손으로 Button SW1를 눌러서 입력신호 in_sw가 들어올 때 clk의 negative edge에서 시작하여 한 클럭 주기 동안 ‘1’이 나오는 in_sync신호(LED1
    리포트 | 15페이지 | 2,000원 | 등록일 2024.09.08
  • 시립대 전전설2 A+ 3주차 예비레포트
    S -> LED83.2. ... 구현하시오.모듈 설계 시에 입출력 변수는 벡터로 정의할 것테스트 벤치를 이용한 시뮬레이션(아래의 테스트입력값을 포함하여 몇 가지 입력 값을 임의로 생성하여 사용)장비를 이용하여 동작을 ... 예시 참조)모듈 설계 시에 입출력 변수는 벡터로 정의할 것테스트 벤치를 이용한 시뮬레이션(아래의 테스트 입력 값을 포함하여 몇 가지 입력 값을 임의로 생성하여 사용)장비를 이용하여 동작
    리포트 | 24페이지 | 2,000원 | 등록일 2024.09.08
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... Digital Design with an Introducton to the Verilog HDL 5thedition3) XILINX DS099 Spartan-3 FPGA Familiy ... 장점이 있으며 ACTEL, QUICKLOGIC, CROSSPOINT 등이 그 예)으로 크게 구분 지을 수 있으며 EPROM 방식(ALTERA가 그 예)도 사용한다.- VHDL 또는 Verilog
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를 이용하여 동작을 시험하시오. ... 테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를 이용하여 동작을 시험하시오. ... (simulation 과 동작 검증은 In-lab 에서 실행함)이 과정은 수행 과제에 사진으로 첨부하였다.2.
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 시립대 전전설2 A+ 8주차 예비레포트
    (점(dot)을 포함하면 8개의 segment)숫자나 문자를 표시해 줄 수 있는 최소의 장치.8개의 LED segment (a ~ g, dot)로 구성되어 있으며, 각각의 LED에 ... 실험 목적Verilog HDL 언어를 사용하여 주변장치(peripherals) 제어를 실험함.주변 장치들인 7-segment, Piezo 등의 controller 설계Behavioral ... 출력하게 함cnt_scan의 값이 3일 경우 5번째 FND에 ‘3’을 출력하게 함reset이 1일 경우, seg_com과 seg_data 모두 초기화2) [실습 1] ~ [응용과제]의 Verilog
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2, 3주차, Lab03, Introduction to Verilog HDL, 자세한 설명, 결과레포트
    확인할 수 있었다.3) 1-bit full adder 회로(1) Verilog HDL (gate primitive이용)(2) simulation(3) combo box를 통한 동작 ... 12LED 11LED 10LED 9입력 a, b이고 콤보 박스에서는 bus sw1~sw8을 통해 값을 입력한다. ... 즉, LED9는 out[3]을 LED12는 out[0]을 나낸다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대