• 통큰쿠폰이벤트-통합
  • 통합검색(143)
  • 리포트(140)
  • 자기소개서(2)
  • 이력서(1)

"verilog led 동작" 검색결과 121-140 / 143건

  • 8비트 가산기 디지털회로실험 예비보고서
    이번에는 verilog HDL 코드를 이용해 8비트 7-세그먼트의 동작을 시뮬레이션으로 확인해보는 실험을 해보았다. ... 결과 진리표와 사진의 형태를 따라 1이 출력된 결과가 LED의 불이 켜진상태이다. ... 시뮬레이션 실험에서는 a~g까지의 출력이 서로다른 7개의 led역할을 한다고 가정하였다. 이때 출력되는 모양에 따라 7-세그먼트에서 나오는 숫자의 모양이 결정된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • Verilog HDL을 이용한 PIG Game 설계
    출력 및 동작설명123456789101. Player 12. Turn Total3. Player 24. Dice LED5. P16. P27. RESET 버튼8. ... Verilog HDL을 이용한PIG Game 설계학 과:학 번:이름:Professor:Abstract1. ... 또한 seg1, seg2, segsum은 두자릿 수이므로 14비트씩 필요하고 segdice는 7비트만 필요하다.P1플레이어 1 차례일 때 동작한다.P2플레이어 2 차례일 때 동작한다
    리포트 | 21페이지 | 3,000원 | 등록일 2011.12.24
  • 실험 18 타이머 만들기
    작은 부분에서도 실수가 하나라도 있으면 오류가 나서 프로그램이 동작하지 않는다는 것이다. 코딩이란 상당히 어려운 작업이다. ... Verilog HDL를 이용한 TIMER결과보고서제출일2011. 12. 1전공전자공학조5조학번(탑 모듈)module Top (Clk_50M, Stop_run, Sw_min, Sw_sec ... , Led_out);input Clk_50M, Stop_run, Sw_min, Sw_sec;output Led_out;wire Clk_1M;Divider U1(.Clk_50M(Clk
    리포트 | 5페이지 | 3,000원 | 등록일 2012.01.26
  • FPGA 디지털 시스템 설계 : 16:1 Mux 및 Hex to 7 segment 설계
    코드 내에서 라인에 따라 순차적으로 진행하는 것과 독립적으로 내부가 반복 동작하도록 만들어, 동시에 여러 신호들의 상태를 바꿀 수 있게 해준다. testbench에서는 주기적인 클럭을 ... led on, 0이 led off이기 때문에 위 문자는a: 8'b0111_0111, b: 8'b0111_1100, c: 8'b0101_1000d: 8'b0101_1110, e: 8 ... 켜면 될 것이다.afgbecd.X7 segment의 led에 알파벳으로 순서를 정하고, 8bit 출력 신호에서 각 bit에 해당하는 led를 Xgfe_dcba로 정의했다. 1을 출력하면
    리포트 | 4페이지 | 1,000원 | 등록일 2012.06.18
  • 디지털 논리 실험, 7-Segment 제어기 동작 원리와 디코더 예비 보고서
    Verilog HDL 코드 분석//모듈을 설정. ... 예비보고사항(1) 표 1의 7-Segment 디코더 회로를 Verilog HDL로 표현하시오.-> Ⅲ항에서 해당 회로의 Verilog HDL을 표현하였다. ... 실험목표(1) 7-Segment 제어기의 동작 원리를 이해한다.(2) 7-Segment 제어기의 디코더를 설계한다.Ⅱ.
    리포트 | 4페이지 | 1,500원 | 등록일 2009.07.18
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 3-예비,결과 보고서
    동작: 입력된 BCD 값이 유효한 값이면, 이 값을 표시할 수 있도록 7개의 7-segment LED를 구동 신호를 출력하고, 그 외의 경우에는 7-segment의 LED가 모두 OFF되도록 ... 혹은 Verilog를 이용하여 설계하고 simulation을 통하여 동작을 확인하시오.module seg7_veri(B, X);input [3:0] B;output [6:0] X; ... 이 회로의 입출력 및 동작은 다음과 같다.A. 입력: 4 자리 BCD codeB. 출력: 7-segment LED를 구동할 수 있는 7개 신호(a ~ g)C.
    리포트 | 8페이지 | 1,000원 | 등록일 2009.01.25
  • 시계 - SOC Segment
    LED Display Source4. LED Display Source5. Source_state 지정6. Main module _ Source7. Compile8. ... Source 해석 및 동작원리최초, Clk 분주 module을 이용하여 적절한 clk를 지정( Soc kit의 clk가 너무 빨라서 육안으로 확인 가능 하도록 설계)< 시계를 만들기
    리포트 | 8페이지 | 1,500원 | 등록일 2012.03.28
  • 신의손) 합격 한글 이력서
    회로시뮬레이션 툴 사용법과 측정기기 사용법 숙지2. pn 접합다이오드, zener 다이오드, LED 동작특성의 이해와 다이오드응용회로 설계 및 구현3. ... 바이폴라트랜지스터(BJT) 동작특성의 이해와 바이폴라트랜지스터 증폭회로 설계 및 구현4. ... 게이트를 비롯한 다양한 디지털 소자의 동작 숙지2. 디지털 시스템 설계 및 구동 방법 숙지3.
    이력서 | 17페이지 | 무료 | 등록일 2014.08.20 | 수정일 2016.01.10
  • 디지털시계 사전보고서
    Verilog HDL1) 타이머 1module Timer (Clk_1M, Stop_run, Sw_min, Sw_sec, Led_out);input Clk_1M;input Stop_run ... = 1;else Led_out = 0;endendmodule-타이머 블록은 Strop_run 신호가 타이머를 구동 시키는 1 일 때 동작한다. ... Stop_run 신호는 타이머를 동작 시키는 신호로서 0일 때는 타이머의 시간을 설정하고, 1일 때 설정한 시간에서 시간을 줄여 나간다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.06.28 | 수정일 2018.02.19
  • Nand 연산을 이용한 Xor 게이트 구현과 간단한 Adder 구현
    Verilog HDL File에서 Verilog 코드를 작성한다.(xor Gate,Full-Adder)6. 컴파일하고 제대로 동작하는지 확인하기 위해 시뮬레이션을 한다.7. ... 에 불이 들어왔다.- 1과 2 둘다 입력했을 때 두 번째 LED 에 불이 들어왔다.- 1과 2 그리고 입력carry(value=3) 를 모두 입력 시켰을 때 두 개의 LED 전부 ... Y▶ Verilog code 및 시뮬레이션 결과module ADDER(X,Y,C,S);// 함수선언input X,Y;//input설정output C,S;//output설정xor (S
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.07
  • 연세대 전기전자 기초실험 8. 조합 회로 설계 실험 (결과보고서)
    또한 7-세그먼트 제어기도 verilog 시뮬레이션으로 동작시켜 보았다. ... 이번 실험에서는 특별히 브레드 보드를 이용하여 회로를 구성하는 것이 아니라 단순히 컴퓨터를 이용, verilog 코드로 각 조합 회로의 동작을 확인하는 것이었다. verilog 코드를 ... 코딩부분에서 핵심 부분은 각 부분(a부터 g까지 7부분)에 있는 LED들을 입력된 2진수에 맞게 ON/OFF 하는 것이었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2007.12.30
  • 디지털회로 [ 7-세그먼트디코더, 쉬프트 레지스터,업-다운카운터, 각종 카운터 _ 사전 ]
    실험목적이번 실험의 목적은 Verilog HDL을 사용한 회로 설계 방법과, Quartus, FPGA 사용법을 익혀 7-세그먼트 및 각종 카운터를 설계, 동작해보는 것이다.? ... 7-세그먼트는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 ... Clk의 상승 에지에 동기를 맞추어 Up_Down 신호가 1이면 업 카운트 동작을 수행하고 0이면 다운 카운트 동작을 수행한다.?
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.09
  • 종합설계 최종 보고서
    LED표시부 설계? 전원부 구현.? LED수에 따른I/O PORT 구현.? 단독 회로 통합.? ... 사용법 습득.- CPLD 동작원리의 이해.- 전원부/ LCD 표시부 동작원리 이해.(4) 과제수행의 역할 분담사진학번성명역할분담H/W 설계H/W 구현이론 및 보고서? ... )- 설계내용 upload / download 부 설계.- 전체 회로 통합 구현.(3)이론 및 보고서- 신호등 제어 원리 이해.- Verilog 언어의 이해.- Modelsim Tool
    리포트 | 26페이지 | 3,500원 | 등록일 2009.07.20
  • Xilinx verilog 디지털 시계
    (동작 원리 및 표현 방식 등). 전체적인 구조가. ... 동작 설명기 능신 호동작 설명학번모드입력Switch1 - Mode Select출력초기값으로 “-- -- --”을 설정하고 표시하고fnd에 학번이 좌우로 움직인다. ... 시are Implementation (Xilinx Tool 사용)VerilogC/JAVA- 프로시져를 이용하여 병렬처리로 수행된다.- 입력과 출력 변수가 따로 되어있다.- verilog
    리포트 | 39페이지 | 5,000원 | 등록일 2009.12.23
  • [전기전자기초실험] 연산 회로 설계 실험 예비보고서
    이 두 개의 캐리가 서로 다를 경우 오버플로우가 발생하게 된다.③ 4비트 ALU를 verilog HDL로 동작수준에서 구현module ALU(en, ctrl_s, a, b, out_f ... 입력이 같을 경우 출력 - 208(LED16)⑤ 여러 가지 ALU 및 CPU중에서 하나를 선택하여 각각의 명령에 대한 동작 조사- CPU? ... 4bit output - 53(LED1) / 54(LED2) / 55(LED3) / 56(LED4)?
    리포트 | 4페이지 | 1,000원 | 등록일 2009.07.29
  • [공학]플립플롭과 카운터 설계 실험-결과보고서
    사진을 보아도 알 수 있는데 키를 누르는 것에 따라 led_5b와 led_6b의 상태가 번갈아서 나왔다.⑤ 동기식 십진 카운터 회로의 설계 및 검증< verilog code > ... 보고서① 실험을 통해 작성한 table과 파형을 참고하여 JK_MS FF, 4-bit 양방향 쉬프트 레지스터, 동기식 십진 카운터, 4-bit updown preset 카운터의 동작을 ... Time- delay >< waveform > < 사진 >실제 결과로 나온 waveform을 보면 0001->0010->0011 이런식으로 계속 led의 모양이 변하게 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2006.12.07
  • Verilog 코드를 이용한 DashWatch 설계
    그것은 seg1과 seg2 사이에 소수점을 제공하고 전원 켬 표시기로도 동작한다.led_R현재 Display 값이 저장값보다 느린 경우 동작한다.led_G현재 Display 값이 저장값보다 ... 컴퓨터 구조설계 프로젝트Verilog 코드를 이용한 DashWatch 설계학 과:전자공학부학 번:200511392이름:문은혁Professor:박인갑 교수님제 출 일:2008. 12. ... 빠른 경우 동작한다.2.
    리포트 | 14페이지 | 2,500원 | 등록일 2009.01.03
  • 연산 회로 설계 실험-예비보고서
    목적2진수의 음수 표현을 이해하고, 4-bit 덧셈기/뺄셈기의 구성과 동작 원리를 파악하고 verilog 시뮬레이션을 진행한 후에 FPGA Kit에서 동작을 확인한다. ... ALU 구조와 동작 이해와 verilog 코eld⑤ 4-bit ALU 시뮬레이션 및 FPGA Kit 실험 수행3. ... 개요① 2진수의 음수 표현의 이해② 4-bit 덧셈기/뺄셈기의 구성과 동작 원리 이해③ 4-bit 덧셈기/뺄셈기의 verilog 시뮬레이션 및 FPGA Kit 실험 수행④ 4-bit
    리포트 | 7페이지 | 1,000원 | 등록일 2006.11.23
  • 연세대 전기전자 기초실험 프로젝트 - 4층 엘리베이터 컨트롤러 설계(Verilog 설계)
    그 후에 주어진 설계 조건에 따라 verilog로 엘리베이터 컨트롤러를 coding하고 kit에서 제대로 동작하는지 확인해 보았다. ... 따라서 LED OUTPUT으로 잡았으며 LED의 위치도 역시 임의로 설정 가능하다. ... 이 프로젝트 보고서는 교재에 제시된 예비보고서와 결과보고서에 있는 문항에 기초하여 작성하였고 뒤에는 FSM 상태천이표와 상태도, 엘리베이터 컨트롤러 verilog code, 그리고
    리포트 | 15페이지 | 3,000원 | 등록일 2007.12.30
  • [전기전자기초실험]플립플롭과 카운터 설계 결과보고서
    예비보고를 통해 설계한 아래의 verilog HDL 코드를 이용하여 위의 실험과정을 반복하여 동작을 검증1) JK Master/Slave 플립플롭 설계 및 검증< Time Analysis을 ... 클럭펄스가 1로 될 때는 마스터를 동작시키고 , 0으로 될 때는 슬레이브를 동작한다.? 클럭펄스가 1로 될 때는 마스터를 동작시키고 슬레이브를 차단한다.? ... LED4(56), 3(55), 2(54), 1(53)에 각각 배정하고, J, K를 누르는 것에 따라 LED가 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 그리고 다음엔 0을
    리포트 | 8페이지 | 2,000원 | 등록일 2007.06.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대