• 통큰쿠폰이벤트-통합
  • 통합검색(143)
  • 리포트(140)
  • 자기소개서(2)
  • 이력서(1)

"verilog led 동작" 검색결과 21-40 / 143건

  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법 ... 모델링, Module instantiation을 이용한 Structural modeling 방법 등을 실험하고, 설계한 로직을 시뮬레이션하기 위한 테스트 벤치를 작성하고 장비로 동작을 ... 실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    1, 2, 3, 4입력 S : BUS Switch 1, 2출력 Q : LED 1=> MODULE=> VERILOG CODE=>SIMULATION(alway문 사이에서 300초 단위로 ... -N비트 2진 입력 신호를 M개(2N개)의 출력 신호로 변환시키는 동작 수행-입력 조합에 대해서 M개의 출력 단자 중 1개만 High값이 출력 되고, 나머지 출력 단자에서는 Low값이 ... (총3문항)● 2비트 2 : 1 MUX 회로를 설계하시오입력 A : BUS Switch 1,2입력 B : BUS Switch 3, 4입력 S : BUS Switch 8출력 Q : LED
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 시립대 전전설2 A+ 5주차 예비레포트
    테스트벤치 수행 후 장비동작 확인입력: a, b, c, d -> BUS SW 1, 2, 3, 4출력: f -> LED1테스트벤치는 16가지 입력 경우의 수를 모두 체크카르노맵(Karnaugh-Map ... 1]: Button SW1입력: A[0]: Button SW2출력: Y[3]: LED1출력: Y[2]: LED2출력 Y[1]: LED3출력 Y[0]: LED42) 실습 2다음의 4: ... 실험 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계함Encoder/Decoder, Mux/Demux 등 개념 학습 및 설계실습Behavioral
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를 이용하여 동작을 시험하시오(입력: BUS SW, 출력: LED1~4, 테스트 입력 값: a[3:0] = 0011, b[3:0] = ... abCinLED1 (Cout)LED9 (Sum)000001000111013 ... 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다.
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(예비) / 2021년도(대면) / A+
    위한 테스트 벤치를 작성하고 장비로 동작을 확인한다.나. ... HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법 ... 64~67: 상태천이 순차회로 부분으로, 초기화하는 것과 state에 next_state를 넣어주는 로직이다.④ Line 69~91: output 조합회로 부분과, state를 LED
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(결과) / 2021년도(대면) / A+
    Moore machine의 장비 동작 확인 과정에서 처음 reset버튼을 누르면 LED9가 켜졌다 꺼진 뒤 LED10이 켜지는 것을 확인할 수 있다. ... Mealy machine의 장비 동작 확인 과정에서 처음 reset버튼을 누르면 LED9가 켜졌다 꺼진 뒤 LED10이 켜지는 것을 확인할 수 있다. ... Conclusion- Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험할 수 있다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 시립대 전전설2 Velilog 결과리포트 3주차
    게이트의 지연에는 상승지연, 하강지연이 있으며 인스턴스 네임은 생략이 가능하다 행위수준 모델링이란 인간과 가장 가까운 추상적인 표현으로서 시스템이 내부적으로 어떠한 동작 특성을 가지고 ... 실험 목적- Xilinx ISE 프로그램의 Verilog를 이용하여 로직 게이트를 설계하고 프로그래밍 해본다.2. ... 때문에 복잡하고 생소한 Verilog HDL 문법을 이해하고 숙지한 상태가 아니라면 회로를 설계하는데 어려움이 있을 것 같다.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(결과) / 2021년도(대면) / A+
    확인하는 모습(6) [실습 6] 다음의 특징을 가지는 4-bit counter를 설계하고 시뮬레이션 후 장비 동작을 확인하시오.- CLK은 1Hz 입력- 출력 : LED 4개 (module ... 실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험(Flip-Flop, Register, SIPO 등)하고, 설계한 로직을 시뮬레이션하기 ... 예를 들어 1101을 입력후 0110을 입력해주면 새로운 출력값인 0110이 첫번째 줄과 두번째 줄 LED에 모두 새롭게 표기되고(LED 2, 3, 10, 11 ON) 이전의 1100
    리포트 | 18페이지 | 2,000원 | 등록일 2022.07.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... Conclusion- Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 설계 및 실험할 수 있다. ... 실험 이론(1) 7-Segment Decoder- 7-Segment 또는 FND (flexible numeric display)라고 부른다.- 8개의 LED로 구성되어 있으며, 각각의
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 디지털시스템실험 2주차 예비보고서
    방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.기본지식1. ... HDL의 주요한 사용은 설계자가 설계회로를 제작하기 전에 회로의 동작여부를 시뮬레이션하는 도구이다.4. Verilog의 문법? ... LED D. Button SwitchE. DIP Switch F. 7-Segment 1 DigitG. 8 Array 7-Segment 8Digit실험방법1.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털시스템실험 2주차 결과보고서
    FPGA 프로그래밍 방법 이해실험목표① FPGA와 Verilog가 무엇인지 이해한다.② Verilog로 설계한 회로의 동작을 FPGA를 통해 검증한다.실험결과1. ... Quartus 화면에서 Assignment > Pin Planner 메뉴를 선택한 후 Pin Planner 창의 아래 부분 창에서 Location을 LED1과 Dip switch로 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목① FPGA 및 Verilog의 이해, Verilog를 통한
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.29
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    총8개의 LED로 구성되어 있으며, 각각의 LED에 불이 들어왔을 때의 상태에 따라 다양한 문자 표시가 가능하다.7-Segment 핀Common Cathode7-Segment 회로Common ... 실험 목적본 실험에서는 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 직접 설계하고 실험하여 실제 어떻게 응용되어 사용될 수 있는지 ... 예상 결과본 실험은 Verilog HDL 언어를 사용하여 7-segment and Piezo Control 을 설계하는 실험이다. 7-segment and Piezo Control
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 서울시립대 전전설2 Lab-04 결과리포트 (2020 최신)
    실험목적Verilog HDL을 사용해 비교기 등의 Combinational logic을 설계하고 테스트벤치 파일을 작성하여 combo box를 통해 동작을 실험한다. ... ‘lab4_full_adder’라는 이름의 project를 만든다.2. new source로 verilog module file ‘half_adder.v’ 파일을 만들어 1-bit ... 크다는 것을 의미하는 LED 3에만 불이 들어왔다.5.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • [A+] 디지털공학실험 JK 플립 플롭
    (S,R =1 , Q = undefined)(출처 : https://vlsiverify.com/verilog/verilog-codes/sr-flip-flop)JK 플립플롭: JK 플립플롭은 ... LED를 사용하여 JK 플립 플롭의 진리표를 입증할 수 있었다. ... (J-K 펄스 트리거 플립 플롭의 클럭킹에 관한 관찰)PRE와 CLR 모두 동시에 1로 설정한다.TTL 레벨 펄스 발생기를 주파수 1Hz로 설정하고 클럭 입력에 연결한다.LED를 클럭
    리포트 | 7페이지 | 2,000원 | 등록일 2023.11.08
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험하고, 설계한 로직을 시뮬레이션하기 위한 벤치를 작성하고 장비로 동작을 확인한다.나 ... A(Bus SW1~4), B(Bus SW5~8) / A>B는 AGB(LED1), A=B는 EQ(LED2), A ... comparator의 동작을 확인하는 모습 (좌측에서부터 차례로 입력 a[3:0]b[3:0]의 값이 0001 0000, 0000 0000, 1000 0000)- 실험 결과: 입력은
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 7segment(fnd)
    BCD 코드 및 논리회로모든 컴퓨터는 내부적으로 2진법에 의해 동작하지만 사람은 2진수를 사용하지 않는다. ... -실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(ALU, BCD-to-7segmemt)]-관련 이론1. ... 내장되어 있어 LED의 점등으로 표시를 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.06.20
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 7주차 Lab07 예비 레포트 Sequential Logic 2, 전자전기컴퓨터설계실험2,
    그중 FSM인 Moore Machine 과 Mealy Machine을 Verilog HDL언어를 사용하여 설계하고 실험하여 state machine의 이해도를 높이고 동작을 확인해본다 ... 예상 결과본 실험은 Verilog HDL 언어를 사용하여 Sequential Logic을 설계하는 실험이다. ... STATE변경//in에 따라 STATE변경//다른 입력일 경우 STATE=0◀상태천이 순차회로//rst이 눌리면 STATE=0//그렇지 않으면◀output 조합회로//출력//STATE를 LED
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • (10가지 기능, 코드 전체 포함, 직접 작성한 코드, 확장성 좋은 코드)서울시립대학교 전전설2 10주차(Final) 예비레포트(코딩 매우 성공적, A+, 10점 만점 11점, 디지털 시계)
    시계의 필수 기본 동작을 포함하고, 다양한 선택 동작을 설계 및 구현한다.디스플레이 동작의 다양성을 설계 및 구현한다.2. ... Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 디지털 시계를 설계한다. ... //이 데이터가 LINE1, LINE2 동작에 적용된다.
    리포트 | 33페이지 | 3,700원 | 등록일 2020.07.22 | 수정일 2020.12.07
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    배경이론 및 사전조사7-segment는 숫자나 문자를 표시하는 최소의 display로 8개 LED로 구성되어있고 각각의 LED에 불이 들어왔는지 여부에 따라 숫자 또는 문자 표시가 ... 실험목적verilog HDL 언어를 사용해 7-segment, piezo 등 주변 장치를 제어하는 실험을 한다. ... 표시[실습 2] Design counter with Piezo- 입력: 1MHz clock, button SW 1~8(도레미파솔라시도)- 출력: piezo, 7-Segment- 동작
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • LG전자 VS본부 HW설계 합격 자기소개서
    이후 설계 과목에서 Virtuso를 이용하여 DRAM과 SRAM을 만들었을 때 큰 도움이 되었습니다.전기회로설계실습 3학점 4.0 / 4.5MOSFET의 소자 특성을 측정해보고 LED ... 이후 Common Emitter Amp를 설계하고 주파수 특성을 측정하여 BJT가 올바른 mode에서 동작하고 Base단에서 전류가 실제로 흐르는지 확인하였습니다.ASIC설계 3학점 ... 회로분석 및 설계 시 위 소자의 기본 특성을 이용하여 원하는 방향의 회로 동작을 구현할 수 있는 바탕을 만들었습니다.기초전자회로 3학점 4.5 / 4.5MOSFET과 BJT 등 Integrated
    자기소개서 | 4페이지 | 3,900원 | 등록일 2023.06.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:43 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대