• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,358)
  • 리포트(1,349)
  • 자기소개서(6)
  • 논문(1)
  • 서식(1)
  • 시험자료(1)

"논리회로실험 결과보고서" 검색결과 181-200 / 1,358건

  • 논리회로실험) 부울대수의 간소화(2) 결과보고서
    아래의 회로도와 같은 결과 값이 나오는 부울 식을 완성하고 , Verilog HDL 로 변환하여 Quartus II 와 ModelSim을 참고해서 결과 값 확인1 ) 고찰 1 회로를 ... 결 과 보 고 서5주차부울대수의 간소화(2) : Verilog HDL code1. ... 실험 과정* 본 실험은 f = ab' + a'b'c, s = b'( a + c ) 라는 두 개의 부울식을 Quartus II Verilog HDL을 이용하여 구현하고, F와 S의 결과
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • [A+ 결과보고서] 아주대 논리회로실험 실험4 '멀티플렉서 & 디멀티플렉서'
    사실 이 실험은 어떠한 양을 측정하는 실험이 아니고 단순히 회로도에 입력을 가했을 때, 생기는 결과가 명확히 정해져 있는 실험이므로, 실험값이 예비보고서와 이론적인 결과값이 같을 경우에는 ... -예비보고결과와 실제 실험결과와 이론적으로 나오는 결과값이 일치하였다. ... 실험4. 결과보고서1.실험목적-멀티플렉서(MUX)와 디멀티플렉서(DEMUX)의 원리를 이해하고 실험을 통해 동작을 확인한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2015.03.27
  • 논리회로실험 - 제 1장 기본 논리 게이트 (AND, OR, NOT) 결과 보고
    과 목 : 논리회로설계과 제 명 : 결과보고서 1담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 3 / 271. ... 작동하는지 확인하는 실험이다.2. ... OR gate의 진리표와 기호는 다음과 같다.3)XOR gate상태 1일 때, 출력이 논리 상태 1이 되는 소자이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.08.15
  • 논리회로실험 실험6 Latch & Flip-Flop 결과보고서
    7주차 결과보고서실험 6 Latch & Flip-Flop▶실험과정 및 결과실험 1 : R-S F/F구성 사진 :- 74HC00게이트 4개를 사용하여 결손도를 참고하여 회로를 구성하였다 ... J-K F/F를 설계하고 결손도에 따라 브레드보드에 회로를 설치한다.- LED는 왼쪽이 Q(t)’, 오른쪽이 Q(t)이다.실험 결과 :예상결과실험결과 사진입력출력JKCQ(t)XX0Q ... 실험실험 1의 회로에서 74HC00을 74HC10으로 두 개를 대체하여 위에 첨부된 사진과 같이 회로를 구성하였다.실험 3과 다르게 다시 오실로스코프의 클럭을 이용하지 않고 평범한
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • CONVERTER에 관한 논리회로 실험 결과 보고
    ○A/D CONVERTER⇒이 실험은 이전 실험인 D/A CONVERTER 회로의 OPAMP 출력에다가 741C 비교기를 연결하고, 또 가변저항을 연결한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2010.11.30
  • RAM에 관한 논리회로 실험 결과 보고
    순서를 바꾸어 조작하면결과 값이 상이하게 나와 버리게 된다.ⅳ) 실험 과정이 너무 길어서 중간에 입력 데이터를 하나 잘못 넣을 경우 다른 출력 값에도 영향을 미치게 된다.ⅴ) 64BIT
    리포트 | 3페이지 | 3,000원 | 등록일 2010.11.30
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 5담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 81. ... 이번 실험은 저번 실험 때 ALU 코드를 KIT에 올려서 이론으로가 아니라 실제로 이 회로가 돌아가는지를 확인해보는 실험이다.2. ... Introduction네 번째 실험(booth_ALU)에서 ALU의 코드를 작성해보고 이를 모델심으로 작동시켜서 그 결과를 확인해 보았다.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 기본논리회로 실험 사전 결과 보고
    실험 방법 및 로직 결과 - 아래에 주어진 회로를 구성하고 입력 전압에 대한 출력 전압을 측정하여 표에 기입하고 전압 측정은 0.1V 까지 측정이 가능하도록 오실로스코프의 민감도 스위치를 ... 기본 논리회로기본 논리회로1. 목적: 기본적인 논리회로 AND, OR, INVERT, NAND, NOR 회로에 대해서 알아본다.2. ... 실험장치: 오실로스코프 1개 직류전원(+5V) 1개 IC 7400, 7402, 7408, 7420, 7432, 7451, 7468 각1개.3. 이론 ⑴논리 회로란?
    리포트 | 19페이지 | 2,000원 | 등록일 2010.09.12
  • [A+ 결과보고서] 아주대 논리회로실험 실험3 '가산기& 감산기'
    ●가산기 예비보고결과실험 결과 값과 비교-예비보고결과와 실제 실험결과와 이론적으로 나오는 결과값이 일치하였다. ... 사실 이 실험은 어떠한 양을 측정하는 실험이 아니고 단순히 회로도에 입력을 가했을 때, 생기는 결과가 명확히 정해져 있는 실험이므로, 실험값이 예비보고서와 이론적인 결과값이 같을 경우에는 ... ●감산기 예비보고결과실험 결과 값과 비교- 실제 실험 했을 때 결과값과 예비보고서 SPICE로 얻은 결과값과 이론적으로 얻을 수 있는 결과값이 모두 같았다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • 논리회로실험 실험2 Electrical feature of CMOS semiconductor 결과보고서
    3주차 결과보고서실험 2 Electrical feature of CMOS semiconductor▶실험과정 및 결과실험 1 : Inverter의 입출력 특성 확인? ... 실험 결과 :- 회로에서 두 채널 사이에 Not gate를 2개 통과한다. ... 실험 결과 :1.
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • 논리회로실험 - 제 8장 VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 8담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 291. ... IntroductionVHDL의 순차 논리 회로 설계에서 Finite state machine을 설계한다. ... Design(1)어떠한 회로를 설계할 것인가 1)1)FSMFSM이란 Finite state machine의 약자로 일정한 천이 가능한 상태 내에서만 동작하는 순차 논리 회로이다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 아주대 논리회로실험 설계 8by8 multiplier 결과보고서
    논리회로실험 결과보고서논리회로 실험 설계1. ... 즉, 충분히 큰 n에 대해, karatsuba(카라슈바) 알고리즘은 고전적cation- multiplication using fft- 결론우선 기본적인 곱셈 알고리즘에 대해서는 논리회로 ... 결과적으로 그 언어가 IEEE 표준 1076으로써 형식화된 후에 곧 상업적 벤더들은 0과 1과 다른 논리값을 처리하는 자신의 내장된 데이터형들을 소개하기 시작했다.
    리포트 | 18페이지 | 1,000원 | 등록일 2013.11.29
  • 논리회로실험 - 제 6장 VDHL의 순차회로 중 shift를 설계 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 6담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 151. ... Design(1)어떠한 회로를 설계할 것인가 1)1)Latch vs. ... IntroductionVDHL의 순차회로 설계에서 Latch vs. Flip-Flop(FF), DFF, Synchronous reset vs.
    리포트 | 15페이지 | 1,000원 | 등록일 2014.08.15
  • 논리회로실험 - 제 2장 가산기 및 감산기 결과 보고
    과 목 : 논리회로설계과 제 명 : 결과보고서 1담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 21. ... )x=0011, y=0010, ci=0 일 때 s=00015)x=1100, y=1001, ci=0 일 때 s=00116)x=0100, y=0111, ci=0 일 때 s=11012)실험값두 ... [그림 5] 가/감산기 계산 결과 (2진법)이론값과 결과값이 일치한다는 것을 확인할 수 있다.(5)Provide the simulation result and the code1) 가
    리포트 | 10페이지 | 1,000원 | 등록일 2014.08.15
  • Basic gate에 관한 논리회로 실험 결과 보고
    table을 완성하라.3-input AND gate truth tableINPUTOUTPUTABCL2LLLLLLHLLHLLLHHLHLLLHLHLHHLLHHHH(2)예비보고서에서 구성한 ... 3-input OR, NAND, NOR gate에 대해서도 위 실험 (1)과 같이 행하여 각각의 truth table을 완성하라. ... 구성한 후 각점 (X, Y, U, V, W)에서의 값을 측정하여 입력에 대한 결과의 표를 만든 후 이 결과 값을 이용하여 De Morgan`s theory를 증명하고 설명하라.
    리포트 | 8페이지 | 2,000원 | 등록일 2010.11.30
  • 디지털 논리 실험, 산술 논리 회로 실험 결과 보고
    결과분석 및 고찰이번 실험은 CPU(Central Processor Unit)와 같은 Controller Unit의 기본이 되는 동작을 수행하는 논리회로인 산술논리장치(ALU; Arithmetic ... 실험에서는 산술논리장치를 4비트로 구현하여 위의 table에 있는 12가지 연산을 확인하였다. ... 이와 같이 ALU에 많이 사용하는 연산을 포함시킨다면 고속으로 회로를 동작시키는데 큰 도움이 될 것이다.Ⅱ 결과토의사항0.
    리포트 | 10페이지 | 1,500원 | 등록일 2009.07.18
  • 실험 4. 논리게이트의 특성 및 연산회로 결과보고서
    1조2009037600 김동준2009037613 이창엽실험4. 논리게이트의 특성 및 연산회로실험목적논리게이트(TTL 74LS04)입출력의 전기적 특성을 실험을 통해서 알아본다. ... 또한 출력은 논리값 0이 1보다 입력에 대응하는 구간이 넓게 분포 하였다.고찰) 1번 실험보다 잡음여유 특성 시각적으로 볼 수 있었다. ... (fan-out, 잡음여유)▣내용4.5.1) 0~5v, 1kHz구형파를 발생시켜 다음 회로의 파형을 관측하라.결과)2V/0.5ms입력()진폭: 5V주기: 1ms출력()진폭: 4.1V주기
    리포트 | 9페이지 | 6,000원 | 등록일 2012.03.18 | 수정일 2017.03.12
  • 아주대 논리회로실험 래치와 플립플롭 , Decoder &Encoder결과보고서
    논리회로실험 결과보고서실험5. ... 이번 실험 전에 R-S F/F에 대해서는 실험해 보지는 않았지만 예비보고서를 통해 R-S F/F을 공부했고 결과가 R-S F/F에서 S, R값이 1일 때, 금지가 나오지만 J-K F ... 쿨럭이라는 내용이 너무 생소해서 예비보고서를 작성하면서도 이해가 되지 않았다.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.11.29
  • [A+ 결과보고서] 아주대 논리회로실험 실험10 'D/A & A/D converter'
    실험 10. 결과보고서1.실험목적.-DAC와 ADC 회로의 구성과 동작 원리에 대해 이해한다.-주파수 발생기를 이용하여 DAC를 실험하고 다양한 조건에서의 파형을 관찰한다. ... (4)_7405(3)7404(6)_7405(5)68KΩ parall at10.5KΩ-예비보고서의 결과와 실제 실험했을 때의 결과를 비교했을 때, 이번 실험에서 결론적으로 추구하는 결과가 ... (4)_7405(3)7404(6)_7405(5)68KΩ parall at10.5KΩ-예비보고서의 결과와 실제 실험했을 때의 결과를 비교했을 때, 이번 실험에서 결론적으로 추구하는 결과
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.27
  • [논리회로실험]실험1결과보고서 Basic Gates
    이때 곱함수인 AND gate인 성질을 이용하여 0과0 0과1을 입력하면 0이 출력되었고 1과 1을 입력시키면 1이 출력됨을 확인했다.예비 보고서에서 구성한 3-input OR, NAND ... 입력하면 1이 출력되는 회로를 이용하였다. 3-input NAND gate에서는 하나의 AND gate와 하나의 NAND gate를 이용하여 회로를 구성하여 출력 논리값을 얻었다. ... 3-input AND gate인데, A,B두 입력이 AND gate로 들어가 그 출력값과 C값이 AND gate로 들어가 그 출력을 구하는 실험이었다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.04.12
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대