• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,358)
  • 리포트(1,349)
  • 자기소개서(6)
  • 논문(1)
  • 서식(1)
  • 시험자료(1)

"논리회로실험 결과보고서" 검색결과 201-220 / 1,358건

  • 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 4담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 171. ... Introduction지금까지의 실험은 하나의 산술연산이나 논리연산만 계산하는 코드를 구성했다. ... 이번 실험은 state를 받아서 여러 가지 산술연산과 논리연산, 시프트연산을 할 수 있는 코드를 구성하는 것이다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 논리회로실험 멀티플렉서와 디멀티플렉서 결과보고서
    실험방법실험 1. 멀티플렉서(1) Enable 입력을 갖는 4x1 멀티플렉서를 74HC20과 74HC04를 이용하여 다음 회로와 같이 구성한다. ... 진리표를 확인한 결과 실험(1)의 74HC20과 74HC04를 이용한 4*1 멀티플렉서와 동일하게 동작하는 것을 확인할 수 있었다. ● 실험1 정리 - 실험(1)에서는 모든 값이 ‘ ... +500+5014.80V0+50+50+5+50182.2mV0+500+50014.84V0+5+50+5+5+50180.1mV0+5+5+500014.84V- 실험결과 진리표는 위의 실험(
    리포트 | 5페이지 | 1,000원 | 등록일 2010.03.20
  • 11_1학기_논리회로설계실험 프로젝트 결과보고서
    이를 위 해서 플레이어간 밸런스를 잘 맞추고 다양한 변수를 게임 내에 갖출 것이다.세 번째로 논리회로 설계 때 배운 내용들 내에서 설계하고자 하였다.결론 적으로 보는 사람으로 하여금 ... 결과파형에서 어떤 도시가 어떤 플레이어의 소유인지 나타내 줄 것이다.b.
    리포트 | 52페이지 | 3,000원 | 등록일 2011.08.10
  • 논리회로실험 논리게이트결과보고서
    실험제목: 논리 게이트(결과보고서)1. ... 예비조사 및 실험 내용의 이해1.1 게이트의 종류AND gate - 입력값 모두 1일때 출력 1, 그 밖은 0OR gate - 입력값중 하나 이상이 1일때 출력 1NAND gate ... 시험내용 및 결과2.1 logic gate2.1.1 AND gate sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL
    리포트 | 5페이지 | 1,500원 | 등록일 2008.01.14
  • 전자공학실험1 실험4장 결과보고서 : 논리게이트의 특성 및 연산회로
    결과보고서전자공학실험논리게이트의 특성 및 연산회로조원 :담당교수 :실험일자 : 2012.4.6제출일자 : 2012.4.13.1.실험목적논리게이트는 디지털 회로를 구성하는 기본 단위이다 ... [그림4.17][그림4.18]그러므로 두 회로는 서로 같다.4.실험결과 평가 및 반성이번실험은 마침 딱 실험 전 시간에 했던 논리회로 내용과 거의 일치해서예비보고서를 쓰면서도 자신이 ... 그리고 논리식을 조합논리회로로 구현하고 실험을 통해 진리표를 얻어 본다.2.실험내용2.1 실험결과1)그림 4.7 회로구성.0V, 5V값을 가지는 1kHz 구형파를 입력, Vi에 연결.Vi와
    리포트 | 6페이지 | 1,000원 | 등록일 2013.01.31
  • 논리회로실험 디코더 결과보고서
    주는 조합 회로를 말한다. ... 예비조사 및 실험 내용의 이해 1.1 디코더 디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 ... 그림에서 예를 들어 AB 입력 값이 01일 경우에는 출력선 D1만이 1이고 나머지 출력선 D0, D2, D3은 모두 0이 되며, 나머지 입력값의 조합에 대해서도
    리포트 | 7페이지 | 1,000원 | 등록일 2008.01.14
  • [논리회로실험]실험5결과보고서 래치와 플립플롭
    논리값 >< Q’ 출력 논리값>( S = 1 , R = 0 ) ( S = 1 , R = 1 )이번 실험은 S-R latch 회로결과 논리값을 확인하였는데 먼저 S-R latch회로의 ... 논리값의 변화를 보기 위하여 클럭 입력을 1로 하게 되면 위의 회로와 같은 결과값을 가지게 된다. ... 또 이 결과를 7476 칩에 대한 측정 결과와 비교하라.JKQ(t)Q(t)’00Q(t-1)Q(t-1)’0101101011Q(t-1)’Q(t-1)[ J-K F/F 구성 회로 실험 ](
    리포트 | 7페이지 | 1,000원 | 등록일 2010.04.12
  • 논리회로실험 - 제 9장 KIT의 출력방식 중 하나인 LCD display 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 9담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 6 / 31. ... IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 LCD display에 대해서 이론적으로 공부해보고 이를 KIT에 올려서 실습해보는 실험이다. ... 우리는 이번 실험에서 이미 만들어진 글자의 형태를 가지고 학번과 이니셜을 출력해보는 설계를 해 보도록 한다.2.
    리포트 | 22페이지 | 1,000원 | 등록일 2014.08.15
  • [논리회로실험]실험7결과보고서 복호기와 부호기
    실험 결과2단 2진 카운터그림 2의 회로를 구성하여 그림 3에 다음의 파형을 그리시오.출력 A의 파형출력 A’의 파형출력 B의 파형출력 B’의 파형7420의 NAND gate의 4, ... 그림 4의 회로를 연결하고 다음의 파형을 그림 5에 그려라.출력 A의 파형출력 A’의 파형출력 B의 파형출력 B’의 파형< 실험 결과 사진 >① 오실로스코프 화면 ③ 오실로스코프 화면 ... 7의 회로를 구성하라.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.04.12
  • 논리회로실험 - 제 3장 4bit Carry Lookahead Adder를 이용한 가산기 설계 결과 보고
    과 목 : 논리회로설계과 제 명 : 결과보고서 3담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 101. ... 세 번째로는 x와 y를 받아서 결과값을 출력하는 4bit CLA이다. ... 설계한 후 이론값과 결과값을 비교하여 설계가 제대로 되었는지 확인해본다.2.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 논리회로실험 - 제 7장 3비트 updown counter로 binary와 gray 코드로 설계 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 7담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 221. ... Design(1)어떠한 회로를 설계할 것인가 1)1)FSMFSM이란 Finite state machine의 약자로 일정한 천이 가능한 상태 내에서만 동작하는 순차 논리 회로이다. ... IntroductionVDHL의 순차 논리 회로 설계에서 Mealy machine과 Moor machine을 이해하고 이를 3비트 up/down counter로 binary와 gray
    리포트 | 20페이지 | 1,000원 | 등록일 2014.08.15
  • [논리회로실험]실험3결과보고서 가산기,감산기
    예비보고서에서 구상한 반가산기를 구성하고 그 결과를 확인하라.XYCS0*************10 이 실험은 두 출력값의 가산을 하는 회로로써 단순히 2개를 더하는 값이 출력되었다 ... 이번 실험 역시 시뮬레이션을 돌려보았는데 그 결과 논리값과 실험에서 나온 논리값이 완벽히 일치함을 확인하였다.예비보고서 문제 5에서 구한 전감산기를 구성하여 동작 결과를 확인하라.XYZBD0000000111010110111010001101001100011111 ... 이번회로역시 시뮬레이션 결과실험 결과 값과 완벽히 일치함을 확인하였다.
    리포트 | 7페이지 | 1,000원 | 등록일 2010.04.12
  • 디코더&인코더 에 관한 논리회로 실험 결과 보고
    시뮬레이션에서의 결과가 나왔기 때문에 이 회로는 정상적인 동작을 했다는 것을 확인했다.ClockDecimal0Decimal5Decimal7⇒위 실험은 10진 디코더를 갖춘 BCD카운터를 ... , IC7420으로 이루어진 조합회로인데, 이 실험에서는 앞 실험의 정수 0~3인 반면 정수 0~2까지 3개의 정수를 카운터 할 수 있는 회로 구성이다. ... 따라서 위의 실험결과 decimal 0에서는 펄스가 5V로 일정하게 된다.그리고 decimal 7에서는 7번째 펄스에서 전압이 바뀌는 것을 위 파형으로부터 확인했다.
    리포트 | 9페이지 | 1,000원 | 등록일 2010.11.30
  • 논리회로실험 스텝모터 결과보고서
    실험제목 : 스텝모터(결과보고서)? 목 차1. 예비조사 및 실험 내용의 이해1.1 스텝모터의 의미1.2 스텝모터의 특징2. ... 결과 검토 및 의견이번 학기에 논리회로 과목을 듣는중이라 아직 클럭과 순서논리회로의개념이 잡히지 않았다. 나름대로 생각하여 카운터를 만들어보았다. ... 각 비트가 겹치지 않고 term마다 하나씩뜨는 것을 알 수 있다.2.2 1-2상 여자방식2.1.1 source2.1.2 source 분석파형을 그려보고서는 어떻게 해야할지 고민하고
    리포트 | 8페이지 | 1,000원 | 등록일 2008.01.14
  • 논리회로실험- RAM (램) 결과보고서
    RAM 결과보고서1. 2-bit RAMWrite(bit0) Read (bit1)OUTPUTSENSE0SENSE1SENSE0SENSE1AB10011X01XX0X10101X10XX1X0101X1XX01X00110X1XX10X1 ... ME와 WE를 +5V에 연결시킨다.이와 같은 실험과정에서 +2.5V보다 높으면 논리 “1”이고 +0.5V보다 낮으면 논리 “0”이라고 가정하였다. ... 초기에 기억된 데이터 값을 읽어낸다 (ME=0V, WE=+5V).DO4 DO3 DO2 DO1 =1111*내부회로도에서 보는바와 같이 출력단에 인버터가 있으므로 내부 데이터 초기값=0000d
    리포트 | 9페이지 | 1,000원 | 등록일 2008.02.25
  • [논리회로실험]실험9결과보고서 A.D& D.A Converter
    1) 실험 결과(2) 실험 결과(3) 실험 결과6) 10KΩ 저항에 68KΩ을 병렬로 연결하고 파형을 그려라. 68KΩ을 제거하고, 저항 68KΩ을 연결하였을 경우와 그렇지 않은 경우를 ... 회로에 비교기만 부과한 실험2 시뮬레이션 회로>위의 그림에서 보듯이 핀2에서의 전압이 -2.5V 일때 각각 핀의 출력 파형을 관찰하였다.7405 소자의 2번 핀 출력 파형 7405 ... (1) D/A converter1) 그림 4의 회로를 구성한다.2) Single pulse clock으로 single pulse를 가하여 D/A converter의 출력을 측정한다.3
    리포트 | 10페이지 | 1,000원 | 등록일 2010.04.12
  • 논리회로실험-CMOS회로의 전기적 특성 결과보고서
    실험2. CMOS회로의 전기적 특성 결과보고서1. ... (ns)tpd (x4)20tpd (x1)59& 실험 결과 파형**실험 4-1에 대한 고찰이번 실험4-1은 회로의 딜레이 타임에 대하여 알아보는 실험이었다.실험 파형이 제대로 안나와 ... 실험이었다.회로 구성은 비교적 간단했으며, 74HC04를 이용하여 입력쪽과 출력 오실로스코프의채널1,2에 연결하여 입력의 전압의 변화에 때른 논리의 변화를 알아보았으며,이론에서의
    리포트 | 12페이지 | 1,000원 | 등록일 2007.12.29
  • 논리회로실험- 시프트레지스터 와 카운터 결과보고서
    시프트레지스터와 카운터 결과 보고서1) 시프트 레지스터(1) 그림 1의 회로를 구성하라. 스위치 중 PR 스위치는 +5V에 연결하고 Serial Data 스위치는 0V에 연결한다. ... 그 결과 첫 번째 실험에서 두 개의 불이 순환하지 않고 L4에 불이 들어온 후 마친것과 달리 두 번째 실험은 L4와 L0불이 들어오고 다시 L0번과 L1불이 들어옴을 알 수 있었다. ... 클럭펄스가 하나씩 입력될때마다 한비트씩 우측으로 이동하여 최상위 출력은 계속 최하위 플립플롭의 입력으로 들어가 위의 표6과 같은 결과로 동작하게 된다.실험에서 보면, 두 번째 실험
    리포트 | 23페이지 | 1,000원 | 등록일 2008.02.25
  • 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계 결과보고서
    이번 실험은 VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이었다 ... IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이다
    리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
  • 논리회로 실험 - 디코더, 인코더 결과보고서
    Decoder & Encoder 결과보고서1번 실험. 2단 2진 카운터-측정 사진 첨부 -실험에 대한 고찰2단 2진 카운터는 IC7476과 NAND GATE IC인 7420으로 ... 이 결과를 표 1에 기록한다.CountCount stateBasic NAND InputNecessaryInput0A'B'A'B'1A B'A2A'BB-결과에 대한 고찰MOD-3 위 표를 ... 회로도는 가령 a가 발광하려면 실험에서 0,2,3,5,7,8,9일때 발광하여야 하는데 이경우에만 발광할 수 있도록 회로를 구성한 것이다.
    리포트 | 12페이지 | 1,000원 | 등록일 2008.02.25
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대