• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,046)
  • 리포트(6,460)
  • 자기소개서(253)
  • 시험자료(149)
  • 방송통신대(108)
  • 서식(32)
  • 논문(23)
  • 기업보고서(7)
  • ppt테마(5)
  • 표지/속지(4)
  • 노하우(3)
  • 이력서(2)

"자판기" 검색결과 181-200 / 7,046건

  • 제품혁신 보고서 (녹차자판기 제품개발 프로젝트)
    그것은 기존에 커피자판기이외에 새로운 제품의 자판기인 녹차만 나오게 만드는 자판기를 개발하는 것이다. ... 또는 기존자판기가 아닌 새로운 모델의 형태로 녹차전용 자판기를 생산할 예정입니다. ... 가격은 저렴하고 기존에 자판기 커피에서 느낄 수 없는 맛의 즐거움을 녹차 자판기에서 볼 수 있으면 획 기적인 아이디어상품이 될 것 같다.제 생각에는 녹차 자판기를 만드는 것 자체가
    리포트 | 18페이지 | 3,000원 | 등록일 2010.09.27
  • verilog HDL을 이용한 사탕자판기설계(tool자일링스, HBE-COMBO2사용), 소스 설명PPT첨부
    verilog hdl을 이용한 사탕자판기 설계로 hbe-combo2를 이용하여 구현이 가능합니다.소스 및 모듈, 시뮬레이션, 상태도, 사양 등 자세한 설명을 한 ppt가 첨부되어 있습니다
    리포트 | 3,000원 | 등록일 2014.12.16
  • C++를 이용한 자판기 시뮬레이터
    )//내부변수: 커피 재료와 동전통 등의 CContainer객체// 입출력을 담당하는 CPannel객체// 자판기 기능을 수행하는 CEngine객체//역활: 자판기의 구성품들을 ... 커피 자판기는 밀크커피(커피+설탕+크림), 설탕 커피(커피+설탕), 크림커피(커피+크림), 블랙커피(커피)를 판매한다. ... 각 커피 종류 당 선택을 할 수 있으며, 교육 목적상 자판기 내부의 정보를 사용자가 알 수 있게 수치를 표시한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2008.02.02 | 수정일 2016.01.14
  • [디지털회로]VHDL을 통한 자판기 구현 PPT
    VHDL을 통한 자판기 구현그림 1 은 자판기(vending machine)의 블록도이다. ... 자판기(vending machine)1 : 100원짜리 동전 5 : 500원짜리 동전 4 : reset C : 반환 D : 커피 / E : 우유 F : 주스그림2그림 3 는 자판기( ... 자판기(vending machine)는 6개의 키패드 스위치를 가지며 해당 키패드는 그림 2 에서 확인 할 수 있다. key vector는 반환, 주스, 우유, 커피, 500원, 100원짜리
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • 자판기 설계(상태도,상태표,카노맵,회로도,VHDL설계)
    library BITLIB; // 라이브러리 BITLIBuse BITLIB.bit_p..
    리포트 | 22페이지 | 10,000원 | 등록일 2011.12.13 | 수정일 2019.03.08
  • [마케팅전략][사업계획] 베트남 시장를 목표로한 커피자판기 진입 마케팅전략 사업계획
    자판기는 한국의 중고자판기를 수입하고 커피 및 원유는 현지에서 구매해서 사용한다. 베트남인들의 한국에 대한 우호적인 인식을 활용해서 자판기라는 새로운 문화를 파급한다. ... 자판기 문화를 확대시켜서 식후 자판기 커피를 이용하는 습관을 형성한다.? ... 자판기에 대한 기존 식당 주인의 wants를 증가시키고 그 외 식당들의 wants를 형성한다.(3) Issue베트남에 커피 자판기 문화가 형성되어 있지 않은 만큼 현지인들이 자판기
    리포트 | 10페이지 | 2,000원 | 등록일 2013.11.20
  • VHDL을 이용한 자동판매기 자판기 설계 (C언어 사용)
    Vending machine동작설명Coffee, Latte, Cocoa, Mocha를 판매하는 자판기 설계 50원, 100원 짜리 코인 입력 - C 와 D 키로 입력 4가지 제품 선택
    리포트 | 14페이지 | 5,000원 | 등록일 2010.05.17
  • 자판기시장분석(마스크팩)
    Ⅰ 개 요커피, 음료자판기부터 티켓 발매기, 스티커 사진기, 즉석복권까지 우리는 알게 모르게 수많은 자판기를 접하면서 살고 있다. ... 그만큼 자판기의 시장성은 충분하다고 볼 수 있겠다..하지만 국내 자판기산업은 자판기 천국 일본에 비하면 아직 초보단계에 지나지 않는다.그림에서 볼 수 있듯이 커피자판기가 큰 점유율을 ... 자판기 선업이 활성화돼있다.
    리포트 | 28페이지 | 1,500원 | 등록일 2006.12.24
  • 자판기시장분석(마스크팩)
    개요음료부분의 자판기 사업 강세 기타 품목은 해외사례에 비해 발전 미비 자판기에 대한 관심이 늘어나는 추세경영전략우리의 다짐자판기 사업의 최강 아이템 실현제품 접근의 편의와 마스크팩의 ... 팩 자판기 설치로 목표시장에의 접근성 확대 목표자판기(1) 시장진입전략Ⅳ. ... 폭자판기Ⅳ.
    리포트 | 33페이지 | 3,000원 | 등록일 2006.12.24
  • [사업계획서]향수자판기
    기업 성장 예측초기 : 캡슐 개발/ 무료 시판 행사 및 홍보 주력 / 자판기 제조 의뢰/ 값싼 향수 유통망 확보 중기 : 성장 추이 지켜본 후 자판기 추가 생산 및 영업 확대감사합니다 ... Mission : 소유하지 않아도 한번쯤 사용하고픈 명품 사업유형 : 제조 및 유통 Item : 자판기용 캡슐형 명품 향수조직 구성CEO 변은혁 CFO 김윤경 CMO 이은영 CTO ... 향수 예상 원가 – 100ml 당 약 2만원(종류별로 가격 다양)PriceProduct Of ServicePlaceThreat자판기 – 젊은 층의 유동인구가 많은 지하철역, 홍대,
    리포트 | 14페이지 | 2,000원 | 등록일 2006.10.15
  • VHDL이용한 주유소 표시(자판기 업그레이드 버젼)
    VHDL을 이용한 주유소 나타내기 (텀프로젝트)Component 문을 사용하여 VFD(LCD), LED, DOT-LED,Seven-Segment 를 구동 시키는 프로그램1.Toplibrary ieee;use ieee.std_logic_1164.all;entity Oil..
    리포트 | 28페이지 | 2,000원 | 등록일 2009.06.24
  • 교내 웰빙 건강음료 자판기 사업
    개발 판매⑶ 대학교 및 관공서에 자판기 임대⑷ 건강 차 재료 판매○ 사업의 핵심적인 특성⑴ 타 자판기 사업과의 차별성: 기존의 자판기에서 다루지 않는 품목을 판매 및 제공하므로 다른 ... 균 음료를 마시는데 드는 비용이 근래에 들어 증가하였다.- 시장 및 기술동향 분석 등⑴ 각종 커피자판기 및 탄산음료 자판기는 시장에 많이 보급되어 있으나 건강 차를 제공하는 자판기의 ... 용이.⑷ 자판기 사업은 적은 시간을 할애해서 관리가 되므로 투잡으로 이용, 추 가적인 수입을 얻을 수 있음- 준비상황:⑴ 녹차 전용 자판기를 개발하거나 기술을 빌려 자판기를 선택함⑵
    리포트 | 13페이지 | 1,500원 | 등록일 2007.09.17
  • C++ 자판기 프로젝트 결과보고서
    개를 한꺼번에 나오도록 하는 디지털 자판기를 구현4. ... 기대 사용분야▷ 실제 디지털 자판기의 생산- 자판기 안에 프로그램이 내장되어 터치스크린으로 구현.- 음료수의 개수를 지정하여 선택 가능.- 고객도 남은 음료수의 개수를 확인 가능. ... 프로젝트 명 : 음료 자판기 프로그램2. 프로젝트 기간 : 2008년 11월 7일 ~ 27일 (20일간)3.
    리포트 | 5페이지 | 2,000원 | 등록일 2009.05.31 | 수정일 2020.12.14
  • 자판기프로그램, vending muchine
    // VendingMachineDlg.cpp : implementation file//#include "stdafx.h"#include "VendingMachine.h"#include "VendingMachineDlg.h"#ifdef _DEBUG#define new D..
    리포트 | 2,000원 | 등록일 2005.05.18
  • 디지털 논리 게이트를 이용한 자판기 설계 제안서
    하는 자판기 회로는 사용가능한 동전은 50원 100원 이 두가지라고 가정한다. ... 설계 개요1) 목적수업 시간에 배운 논리 게이트들을 이용하여 간단한 논리 회로를 구현해 보고 그에 따른 논리게이트들의 역할들과 자판기의 원리에 대하여 알아보기로 한다.2) 기능설계하고자
    리포트 | 4페이지 | 1,000원 | 등록일 2009.04.07
  • [프로그래밍] 자판기 소스
    #include #include #include #include#include#define cupMAX 30 //분말재료 최대값#define canMAX 20 //캔, 컵 최대값#define waterMAX 50 //물의 최대값class material{int mone..
    리포트 | 18페이지 | 1,000원 | 등록일 2004.03.04
  • [C++]커피 자판기
    #include "vanding.h"/****************************** inputCPU 클래스 맴버함수 ******************************/// 생성자inputCPU::inputCPU(){fileInput.open("inputf..
    리포트 | 30페이지 | 2,000원 | 등록일 2006.06.22
  • 속옷자판기 사업계획서
    전망 최근 들어 자판기 문화가 일반 실생활에 급속도로 침투하고 있어 이제 편리성과 휴대성을 갖춘 자판기가 필요로 함 자판기가 무엇이고 어떠한 성격을 갖는지를 바로 알아야 할 필요가 ... , 내의 업계(자연주의 강조) -남성 란제리의 잠재적 수요 확대전망을 예측 -10~30대 내의시장이 호황 전망2)속옷 시장의 동향 및 규모 (금액)총 9,425억원단위:십억원3) 자판기 ... 더불어 미래 지향적 유통기기로서 자판기가 실생활에 어떤 영향을 주는지를 인식할 필요가 있다.2.제품에 대한 설문조사3.제품특성언제 어디에서나 손쉽게 구입할 수있는 서비스를 제공저렴한
    리포트 | 13페이지 | 1,000원 | 등록일 2007.06.29
  • [논리회로]자판기 구현
    Project커피 재료 조절 자판기 (“ 내 커피는 내 맘대로”)Team MembersSystem DiagramVending Machine controllerEND swCoin 100 ... Finite State Machine Design - A Vending Machine [인터비전]디지털 시스템 [미래컴] VHDL 을 이용한 디지털 설계결론 및 후기우리가 손쉽게 사용하는 자판기에도
    리포트 | 19페이지 | 3,500원 | 등록일 2005.04.18 | 수정일 2017.10.24
  • [공학]자판기 최적 배치 문제
    시뮬레이션리 포 트제목 : 자판기 문제○ 과목 : 시뮬레이션○ 교수 : 교수님○ 학과 : 산업시스템정보공학과○ 학번 :○ 성명 :시뮬레이션 김성식 교수님1. ... Problem statement제 품ABCDE비 율12331가 격*************00상 황 : 자판기에 5가지 종류의 음료수가 각 100개 씩 채워져 있다.이익은 판매금의 30%
    리포트 | 21페이지 | 2,000원 | 등록일 2006.06.26
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:12 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기