• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,046)
  • 리포트(6,460)
  • 자기소개서(253)
  • 시험자료(149)
  • 방송통신대(108)
  • 서식(32)
  • 논문(23)
  • 기업보고서(7)
  • ppt테마(5)
  • 표지/속지(4)
  • 노하우(3)
  • 이력서(2)

"자판기" 검색결과 101-120 / 7,046건

  • 살균자판기PPT최종최종
    (2) 사업기회 발견But제조분야 자외선살균기공급UV Korea서비스 분야 자판기 위생관리 위생자판기 인증www.UVKorea.com사업아이템 소개(1) 제조분야www.UVKorea.com살균기 ... 마실 수 있는 안전한 음료 자판기가 필요하다! ... 인증으로 인한 브랜드화 (자판기에 브랜드 스티커 부착) 병원, 공공기관, 유통업체의 직접적 홍보(B2B) 로 인한 매출 증대 자판기 위생에 대한 경각심을 알리고 살균기 필요성에 대한
    리포트 | 25페이지 | 3,000원 | 등록일 2011.11.27
  • 자판기 판매시스템 ( 자료 흐름도 )
    판매 시스템 ( 자료 흐름도 )판매 시스템 각 부서 매장 외부조사기관 거래 처 판매 시스템의 배경도 의사결정 정보 판매분석 비용분석 경쟁사분석 판매예측자 료 각 부서에서 의사결정이 된 정보 판매량 세금계산서 주문량 경쟁사 정보매장 외부조사기관 거래 처 판매 시스템의 레..
    리포트 | 7페이지 | 1,000원 | 등록일 2011.11.23
  • c언어 자판기 소스
    #include main(){ /*1개씩만 뽑는다고 가정*/char money ;int a,choice ;printf("동전인가 지폐인가? \n동전은A 지폐는B : ");scanf("%c", &money);if (money == 'A'){printf("투입금액을 입력해..
    리포트 | 3페이지 | 1,000원 | 등록일 2010.05.22
  • Verilog HDL (자판기 설계)
    14주차 논리회로설계 ◈ 자판기를 설계하시오.1.
    리포트 | 1페이지 | 3,000원 | 등록일 2010.06.07
  • 자판기 시뮬레이션 프로그램
    자바프로그래밍을 수강하면서 텀프로젝트로만든 자판기 프로그램입니다.객체지향 특성에 맞게 총 4개 클래스로 나누어서 코딩하였고참고하시면 좋을듯.....
    리포트 | 19페이지 | 2,000원 | 등록일 2008.12.28
  • 시각장애인을 위한 자판기 DFD DD mini-spec
    -먼저 기존 시스템(음료수 자판기)를 분석해 보겠다.1.배경도 작성// 사용자(고객)은 자판기에 돈을 넣고, 자판기로부터 음료수와 거스름돈을 받는다.2.분할고객으로부터 들어온 돈을 ... -금액이 부족 할 시에도 이를 음성으로 알려주게끔 한다.결론시각장애인들 전용 자판기가 아니므로, 일반 자판기에 음성 기능을 추가 하게끔 하면 된다. ... -자판기는 현재 투입된 돈을 기억하여, 사용자에게 보여주고, 음성으로 알려준다.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.18 | 수정일 2018.08.11
  • 자판기 시스템
    #소스일부int main(void){int coin50_number;/* 50원짜리 동전 의 개수*/int coin100_number;/* 100원짜리 동전 의 개수*/int coin500_number;/* 500원짜리 동전의 개수 */int total=0;/* 넣어진..
    리포트 | 1,000원 | 등록일 2007.06.03
  • 디지털 논리회로 자판기 구현
    Question #3.1. IntroductionIn this question, I saperated the states according to the amount of money accumulated until now. That means, S0 equals to 0..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.28
  • 자판기설계
    자판기설계서론학교주변이나 우리가 생활하는 주변에서 찾아볼 수 있는 자판기를 만드는 회로를winbreadboard로 구현하여 동전을 투입하여 결과 내용물과 거스름돈을 얻는 것이 목적이라 ... 현 시대에는 자판기에 라면과 아이스크림 심지어 생필품까지 밴딩머신이 편의점의 이점을 살려 제작된 것들을 볼 수 있다. 또 한 신용카드로 지불하는 자판기도 등장하고 있다. ... 이런 첨단의 자판기 앞에서 이 실습은 작게만 볼 수도 있지만 가장 기초원리를 이해하게 된 실습이었던 것 같다.현재 자판기는 돈을 입력후 하나하나 버튼을 눌러야 가능하다.
    리포트 | 8페이지 | 2,500원 | 등록일 2007.06.07
  • [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기)
    [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기)A+받은 설계 입니다
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • 자판기
    자판기 프로그램입니다.
    리포트 | 2,000원 | 등록일 2005.05.17
  • 자판기 시스템의 구현
    그러나 여러 발명품에 대해 아무런 생각 없이 이용만 하는 경우가 많은데, 그 중 자판기가 그러한 예이다.우리 주변에서 자판기는 흔히 볼 수 있다. ... 과거의 자판기는 커피나 음료수를 간단한 원리로 뽑을 수 있는 시스템에서 이제는 시스템의 위치 제어를 통해 원하는 위치로 이동하여 물건을 꺼낼 수 있는 시스템, 얼굴 인식 자판기 등 ... 다양화되어 가고 있다.자판기의 가장 큰 장점으로 실내나 실외의 좁은 면적에서도 다양한 선택의 서비스 도구로서의 역할과 시간적 제약을 받지 않기 때문에 자판기의 이용이 증대되고 있고
    리포트 | 22페이지 | 2,500원 | 등록일 2008.12.11
  • 자바를 이용한 자판기프로그램
    자바 awt를 이용한 자판기 프로그램
    리포트 | 1,500원 | 등록일 2010.04.04
  • 동기식 10진 계수기를 이용한 단품 자판기
    제목동기식 10진 계수기를 이용한 단품 자판기.2. ... Project Proposalfor Digital Circuits and ExperimentsTitle: 동기식 10진 계수기를 이용한단품 자판기Team Number:Team Member ... 설계목적동기식 10진 계수기를 이용하여 가격이 1000원인 단품 자판기를 만든다.100원이 투입되는 것이 10번 반복되어 총 1000원이 자판기에 투입되면, 제품이 나오게 된다.00011110001XX1011XX111XXXX101XXX0001111000X11X01X11X11XXXX10X1XX00011110001XX011XX11XXXX10XXJA
    리포트 | 3페이지 | 1,000원 | 등록일 2013.10.22
  • 외부자판기 사업제안서
    자판기 사업제안(1) 자판기 사업소개? ... 자판기 관리방법-자판기 관리자 실명제 부착운영-관리자 건강진단서 발급-자판기 1일 1회 청소 및 소독 의무실시-원재료 유통기간 검수 철저-커피자판기 물탱크 식수 매일 교환-커피자판기 ... 자판기 사업제안----------------------------P.12(1) 자판기 사업소개(2) 자판기 사업제안1. 기본현황(1) 일반현황? 회 사 명 : 코레일유통주식회사?
    리포트 | 18페이지 | 2,000원 | 등록일 2011.03.26
  • c++ 자판기 프로그램
    //===================================함수 선언부==============================void myClear(int sx, int sy, int ex, int ey);//해당영역 clear함수void gotoxy(int x,..
    리포트 | 8페이지 | 1,000원 | 등록일 2011.03.29
  • 자판기(자동판매기) verilog
    디지털회로설계 및 언어 결과보고서Vending Machine목차1연구배경1.1 자판기 사전적 의미............................................... ... ........................................31.2 자판기 종류별 구조적 설명.......................................... ... ...................................42설계목표2.1 자판기 설계 목표...............................................
    리포트 | 26페이지 | 10,000원 | 등록일 2009.12.26 | 수정일 2020.08.28
  • 자판기상품판매 사업계획서
    이색 자판기의 잠재적인 성장은 우리 자판기의 잠재적 경쟁자가 될 확률이 높음브라 자판기아이스크림 자판기콜라 자판기이동하는 자판기피자 자판기운세 자판기5 Forces Model – 3 ... 일본 SHARP사로부터 커피자판기를 도입 설치 - 국내자판기 보급의 효시1980년대1981냉온겸용자판기 등장1982컵라면, 생리대, 티슈 자판기 전개1984삼성, 금성이 화폐교환기 ... 잠재적 진입자이색 자판기우리의 자판기가 'LCD를 장착한 무료 자판기'라는 특이한 컨셉으로 아직 이색 자판기 시장이 성장하지 못한 한국에서 성공을 거두려하는 것이므로, 아래와 같은
    리포트 | 100페이지 | 4,500원 | 등록일 2012.02.27
  • 자판기 흐름도
    자판기 배경도고 객자판기관리자상품변경자판기 관리판매량 알림음료수 주문자판기 상태 알림동전투입,음료요구음료수 요구결과자료흐름도 작성Diagram0 – 자판기. ... Diagram4 – 시스템 모드 전환.Diagram0 자판기음료수를 뽑는다. 1거래량을 출력한다. 2상품정보 변경 3시스템 모드전환 4금액투입음료수선택자판기정보반환요구음료수제공금액반환음료수정보거래기록가격 ... , 종류시스템모드보고서출력가격변경음료수변경관리자격관리거부관리승낙거래량요구음료수요구운영Diagram1 음료수를 뽑는다.자판기 정보제공 1.1음료수 제공 1.3취소 1.2잔액정보음료수정보금액반환요구금액반환거래기록금액투입투입금액정보음료수선택잔액음료수음료수정보Diagram2
    리포트 | 7페이지 | 1,000원 | 등록일 2006.12.28
  • 베릴로그 자판기설계, Vendingmachine Verilog (코드,타이밍밴치,ppt)
    설계내용 Vending Machine입력 부분 자판기 회로 초기상태 (S0) 선택 ( 1BIT , reset) : X3= 안 누르면 0, 누르면 1. ... 설계목표 Vending Machine설계사양 지정 자판기에 투입되는 동전은 500 원 , 1000 원 2 가지로 가능합니다 . 최대 합산할 수 있는 돈은 2000 원입니다 . ... 자판기 판매 물품 생수 - 500 원 , 에너지드링크 - 1000 원 , 과일주스 -1500 음료수를 선택하면 선택한 음료수가 나오고 그 가격만큼 차감 되고 , 동전 반환 버튼을 눌렀을
    리포트 | 24페이지 | 1,500원 | 등록일 2014.06.13
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:40 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기