• 통큰쿠폰이벤트-통합
  • 통합검색(3,522)
  • 리포트(3,349)
  • 시험자료(85)
  • 방송통신대(44)
  • 논문(27)
  • 자기소개서(16)
  • ppt테마(1)

"클럭" 검색결과 181-200 / 3,522건

  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서9
    즉 입력 클럭 수를 센다. 그리고 타이머는 클럭 수를 세는데, 입력 클럭이 아니라 내부의 이다. ... 다시 말해 이전 F/F의 출력값이 변경되어야 그 다음 F/F은 그것을 클럭으로 인식 할 수 있다.? ... 7-segment에 BCD 코드가 입력됨으로서 클럭이 들어 갈 때 마다 세그먼트에 불이 들어오며 숫자를 나타 내었다.?
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 아날로그및디지털회로설계실습 7주차 위상제어루프
    이것은 결국 하나하나의 클럭이 시작되고 끝나는 지점을 명확히 알아야 하는 것을 뜻합니다. ... 또한 PLL에서 위상을 맞춘다는 것은 디지털 회로에서 클럭 동기화를 할 수 있다는 말입니다. ... 그럴 때 바로 클럭의 시작과 끝을 정확히 맞추어 주는, 즉 동기화(synchronization)시켜줄 무엇인가가 필요하게 됩니다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.12.15
  • 쉽게 배우는 AVR ATmega128 마이크로컨트롤러 2장연습문제
    ATmega128의 시스템 클럭에 대해 설명하시오.시스템 클럭 : 내부의 각 구성 부분들이 항상 클럭 신호를 사용하는 것은 아니기 때문에 사용하지 않는 클럭은 차단하여 소비전력을 감소시킬 ... ATmega128의 특징 및 내장 기능에 대해 설명하시오.ㆍ고 성능의 저 전력 8비트 마이크로컨트롤러ㆍ진보된 RISC 구조- 대부분 단일 클럭 사이클에서 실행되는 133개의 명령어- ... .- ISP는 전원, 클럭 등의 최소한의 소자만 연결된 상태에서도 AVR 칩의 프로그래밍이 가능하도록 지원하는 기능, PCB 보드에서 직접 READ/WRITE 동작이 가능해지도록 편리해졌다
    리포트 | 5페이지 | 1,000원 | 등록일 2020.12.06 | 수정일 2021.06.18
  • 디지털공학실험 동기카운터설계 예비리포트
    동기 카운터 설계관련이론동기 카운터는 모든 플립플롭이 같은 클럭 펄스를 받아 그것을 기준 클럭으로 사용하여, 모든 소자가 동시에 트리거 되며 변하는 카운터 회로를 말한다.동기 카운터를
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.19
  • 결과보고서(2) 플립플롭
    두 플립플롭의 차이는 클럭(clock, CLK)의 유무였다. ... 즉 에지 트리거 플립플롭에서 출력의 변화는 클럭에 동기되어 발생한다. ... 지금부터의 회로는 ‘에지 트리거 플립플롭’이라고 하여 클럭이라고 하는 트리거 입력의 특정한 지점에서만 출력 상태가 바뀐다.
    리포트 | 8페이지 | 2,000원 | 등록일 2020.10.14
  • pc조립후 문제발생 해결 방법
    어워드 바이오스의 경우3 PC 를 켜면 삐 - 소리만 들려요 아미 UEFI 바이오스의 경우4 부팅이 안되고 이상한 문자만 나와요3 PC 를 켜면 삐 - 소리만 들려요 CPU 작동클럭 ... locked out - Unlock the key : 키보드를 검사할 때 1개 이상의 키가 눌러져 있는 경우 키보드 테스트그래픽카드 확인 3 PC 를 켜면 삐 - 소리만 들려요 CPU 작동클럭
    리포트 | 27페이지 | 5,000원 | 등록일 2024.05.21
  • 서울시립대 전전설2 Lab-07 예비리포트 (2020 최신)
    이처럼 clk가 falling하는 최근접 timing을 기준으로 한 클럭 동안만 in_sync = 1이 되도록 코딩했다. ... 그 순간의 sync값은 한 클럭 전 clock이 falling할 때 sync값으로 in=0이 들어갔었으므로 sync값은 0이다. ... 시뮬레이션 결과 입력이 1로 올라가고 clk가 falling하는 최근접 timing을 기준으로 정확히 한 클럭동안만 동기화된 출력이 나타났다.
    리포트 | 8페이지 | 1,500원 | 등록일 2021.09.10
  • [아날로그 및 디지털 회로 설계실습] 예비보고서12
    목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는 회로를 ... 단, 회로도를 그릴 때, Vcc, GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시하시오.< 4.1 > 기본적인 클럭 생성 회로 및 카운터 회로
    리포트 | 5페이지 | 1,500원 | 등록일 2022.09.14
  • 아주대학교 논리회로실험 / 7번 실험 Shift Register 예비보고서
    거친 상태에서 CLR, A,B는 1, PE는 0으로 입력하고 클럭을 인가한다면 L1, L2가 0으로 출력될 것이다. ... 공통의 클럭을 입력하여 다음 상태 시프트를 제어한다. 4개의 J-K Flip-Flop을 동시에 상승 펄스로 데이터를 레지스터에 저장한다. ... Clear 신혼는 클럭 신호가 Enable 되기 전에 모든 레지스터를 Reset 상태로 만드는데 사용한다.N비트 레지스터 to N개 플립플롭5.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 컴퓨터 구조 과제 4 - 소프트웨어학과
    각 파이프 라인 단계를 매우 좁게 만들어 다수의 파이프 단계를 생성함으로써 이를 달성한다 클럭 주기가 짧으면 클럭이 빠르다. ... 클럭 속도를 줄이지 않고도 매 주기마다 더 많은 명령어를 실행할 수 있다면 CPI가 감소하므로 성 능이 향상된다.* Superpipelined approaches는 클럭 사이클 시간을 ... CPI가 변경되지 않는 한, 더 빠른 클럭은 더 나은 성능을 의미한다.6.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.05.18 | 수정일 2020.05.22
  • [결과레포트] 아날로그 디지털 변환기
    근데 이게 한 클럭의 펄스동안 결정되는 것인데 DAC 속도와 비교기의 속도가 한클럭의 주기보다 빠르면 문제가 생긴다. ... 안정적인 변환 속도 안에 들어오도록 클럭의 속도를 결정해야한다.그런 이유로 위의 사진의 경우 주파수를 매우 높게 올렸을 때 주기는 주파수의 역수 이므로 클럭의 주기가 짧아지므로 그
    리포트 | 3페이지 | 1,000원 | 등록일 2020.01.09
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    HDL의 시뮬레이터는 디지털 기기의 실제 클럭과 유사한 리셋 가능한 클럭을 유지하고 설계자가 코드를 디버그하기 위해 특정 시간 동안의 다양한 레지스터의 값들을 볼 수 있도록 해준다. ... 실제로 카운터의 시퀀스는 클럭 펄스마다 Karnaugh 맵의 셀에서 다음 셀로 이동해 나아간다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 논리회로실험 A+예비보고서 7 Shift register
    실험 이론1) Shift Resister-매 클럭 주기로 모든 비트를 한 자리 옮기게 하는 레지스터이다. ... 데이터를 시프트하기 위해서, W/S 제어신호는 1이고 레지스터는 클럭이 입력된다. 직렬-직렬 시프트 레지스터처럼 배열과, 데이터 입력처럼 D1은 동작한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.10.09
  • 논리회로실험 A+결과보고서 7 -Shift register
    클럭(사각파,1Hz )을 인가하여 Right Shifting이 되는지 결과를 확인한다. ... 실험 과정 및 결과실험 1) 6-bit Shift Right Register사진1~사진8은 클럭을 인가한 후 매 1초마다의 사진이다. (1Hz)⇒사진에서 볼 수 있듯이 데이터가 순환되지
    리포트 | 9페이지 | 1,000원 | 등록일 2020.10.09
  • 컴퓨터 구조 계산기_quartus 설계_2024
    조건인 신호 IR의 값에 따라 T4, T6으로 진행된다.계산기를 작동시키기 위한 start 신호와 시스템 클럭이 입력으로 존재한다. ... ;은 동시 실행이 가능한 연산임을 표기한 것이고 ;;은 클럭이 필요한 연산을 표기한 것이다.# Timing Pulse제어 신호를 만드는 방법은 여러 가지가 있다. ... 신호를 JK Flip-Flop CLK에 넣어주고 JK Flip-Flop의 CLR을 첫 번째 D Flip-Flop의 /Q1와 연결해 동기화해준다.# 전체 Data Flow# 제어 클럭
    리포트 | 17페이지 | 2,000원 | 등록일 2024.06.07
  • 충북대학교 전자공학부 기초회로실험II 결과보고서 실험 19. 카운터회로
    실험 결과(1) 의 회로를 구성하고, CLR을 low에서 high로 하고, CLK를 16번 인가하여 출력상태를 기록하라. timing diagram을 작성하라.클럭의 수DCBA10진수 ... 001023001134010045010156011067011178100089100191010101011101111121100121311011314111014151111151600000(2) 의 회로를 구성하고, CLK을 16번 인가하여 출력 상태를 기록하고, timing diagram을 작성하라클럭
    리포트 | 3페이지 | 2,000원 | 등록일 2020.09.19
  • 논리회로실험 A+결과보고서 8 Counter
    ⇒사진1~사진4은 클럭을 인가한 후 매 1초마다의 사진이다. (1Hz)⇒사진4의 결과 이후로 사진1의 결과부터 다시 반복된다. (2단 2진 카운터이기 때문)2. ... ⇒비동기식이므로 클럭은 첫 번째 단의 F/F로 인가되며 첫 번째 단의 출력이 두 번째 단의 입력으로 인가된다.⇒출력이 4개이므로 4개의 AND gate를 이용해서 결과를 확인한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.10.09
  • 카운터설계 예비보고서 중앙대
    단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다. ... Q2 의 주기는 클럭의 4 배는 4us 이고 주파수는 0.25MHz 이다.2. 8 진 비동기 카운터 설계8 진 비동기 카운터의 회로도를 그린다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    기본적인 클럭 생성 회로 및 카운터 회로 테스트1Hz의 Clock 신호를 만들기 위해 주기가 1초인 pulse 입력을 인가하였다. ... 이때 LOAD 단자에 HIGH가 아닌 LOW 인가 시 입력 클럭과 상관 없이 (D C B A) 값이 (QD QC QB QA) 값으로 Load된다. ... 실습 목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet 를 읽고 분석하는 능력과 원하는
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • 시립대 전전설2 A+ 7주차 예비레포트
    때 clk의 negative edge에서 시작하여 한 클럭 주기 동안 ‘1’이 나오는 in_sync신호(LED1)를 출력하는 모듈을 디자인하시오.시뮬레이션으로 확인하시오. ... 실험 내용1) 실습 0Moore/Mealy 머신을 디자인할 때 입력을 Button SW를 사용하기 위하여 클럭 신호와 무관하게 비동기적(asynchoronous)으로 들어오는 버튼 ... 입력(in_sw)으로부터 동기화(synchronized)된 한 클럭 구간의 신호 (in_sync)생성이 필요하다.사람의 손으로 Button SW1를 눌러서 입력신호 in_sw가 들어올
    리포트 | 15페이지 | 2,000원 | 등록일 2024.09.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대