• 통큰쿠폰이벤트-통합
  • 통합검색(3,522)
  • 리포트(3,349)
  • 시험자료(85)
  • 방송통신대(44)
  • 논문(27)
  • 자기소개서(16)
  • ppt테마(1)

"클럭" 검색결과 81-100 / 3,522건

  • 충북대 기초회로실험 쉬프트 레지스터 예비
    그리고 클럭은 모든 플립플롭에 동시에 가해져, 데이터의 전송은 클럭에 동기된다.쉬프트 레지스터는 데이터를 취급하는 방법에 따라 다음과 같이 구분된다.1. ... 또한 CP에 가해지는 클럭펄스는 각 플립플롭에 저장된 데이터를 오른쪽으로 이동시켜서 y 단자로 직렬출력을 얻게 할 수도 있다. ... 레지스터의 기능은 정보를 저장할 뿐만 아니라 직렬입력을 병렬로, 병렬입력을 직렬로 출력하는 기능에도 사용되고 저장된 정보를 클럭펄스에 따라 좌, 우로 이동시키는 시프트 레지스터로도
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 쉽게 배우는 AVR ATmega128 마이크로컨트롤러 8장연습문제
    .- 타이머/카운터란 어떤 클럭 펄스를 카운트하는 장치를 말한다. ... 타이머/카운터0, 2는 한 루프에서 카운트하는 최대 클럭 펄스의 개수는 몇 개인지 쓰시오.- 내부 시스템 클럭은 일정한 주기를 갖기 때문에 타이머 동작을 수행하면 결과적으로 시간을 ... 반면에 카운터 동작은 외부 클럭 펄스를 카운트하는데, 규칙적인 주기를 가질 수도 있고 불규칙한 주기를 가질 수도 있기 때문에 카운터 동작에서는 카운트하는 외부 클럭 펄스의 개수만을
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.10 | 수정일 2021.06.18
  • 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    클럭이 상승할 때마다(클럭 펄스가 들어올 때마다) 데이터가 왼쪽에서 받으므로 오른쪽으로 한 비트씩 이동한다. ... 각각의 비트를 입력하기 위해서는 1개의 클럭 주기를 필요로 하기 때문에 4비트 수를 레지스터에 시프트하기 위해서는 4개의 클럭주기를 가진다. ... 클럭 펄스를 몇 번 주면 1111인 상태가 된다.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 부산대 어드벤처디자인 5주차 예비보고서(유선직렬통신, 블루투스)
    (SCK : 클럭 전송을 위한 단자로, 마스터에서 슬레이브로 클럭을 전송/MOSI : M→S 데이터 전송을 위한 단자로, 클럭을 전송하면 마스터에서 슬레이브로 데이터를 보냄/MISO ... 마스터에서 기준클럭(SCL)을 생성하고, 이 클럭에 맞춰 데이터(SDA)를 전송 및 수신합니다. 가 송신과 수신은 구분되어 있는 반이중(Half-Duplex)방식입니다. ... Circuit)는 데이터를 주고 받기 위한 선(SDA) 하나의 송수신 타이밍 동기화를 위한 클럭 선(SCL) 하나로 이루어집니다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.04.08
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    , 클럭이 250ns을 주기로 움직이기 때문에, 실제 변수의 값이 1인 상태에서, 2백만개의 클럭을 세면 0으로 전환되고, 그 시점부터 다시 2백만개의 클럭을 세면 1로 전환된다. ... 250ns 주기의 클럭을 갖는다. ... 여기서 1초를 기준으로 한 클럭은 s01_clk로 선언하였다.2-5)Process(s01_clk, rst_n) – 시계 값을 연산하는 process앞서 선언한 1초 기준의 클럭(s01
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 충북대 기초회로실험 쉬프트 레지스터 결과
    총 네 번의 클럭펄스 결과 다시 ABCD=0000이 되었다. ... 실험 결과 실험 1에서는 ABCD=1111에 한 번의 클럭펄스마다 직렬입력 값 1, 0, 1, 1이 한 비트씩 쉬프트되었다. ... 이와 같이 반복하여 1011을 네 번의 클럭펄스를 사용하여 차례로 직렬로 입력시키면서 그 때마다 ABCD의 출력을 관측하여 표를 만들어라.DataCLKABCD1↑11110↑01111
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.10
  • [컴퓨터의이해 2023년] 1. 슈퍼컴퓨터에 대하여 설명하라. 메타버스가 이용되는 사례를 하나만 선택하여 설명하라. 반도체 기억장치의 발달과정에 대하여 설명하라. 2. 가상현실, 증강현실, 메타버스 등의 응용에 사용하기 위한 입출력 장치를 한 가지 조사하여 장치의 명칭, 장치의 용도 및 입출력 방식, 장치의 사진 또는 관련 그림으로 작성, QR코드 만들기
    (1998년)은 다수의 SDRAM의 클럭속도를 높이고 데이터를 버스트 처리하여 클럭사이클당 2개의 데이터 비트를 전송하여 메모리 데이터 전송속도 성능을 향상시킨다. ... DDR2 메모리는 내부 클럭 속도가 DDR과 같지만, DDR2의 전송 속도는 향상된 I/O 버스 신호로 인해 533~800 MT/s에 도달 할 수 있다.DDR3-SDRAM(2007년 ... 요청된 데이터가 준비가 되었을 때, 메모리 컨트롤러는 정확한 클럭 주기를 알게 되었고, 이는 CPU가 메모리에 엑세스 할 때 더 이상 기다리지 않아도 되도록 만들었다.DDR-SDRAM
    방송통신대 | 12페이지 | 5,000원 | 등록일 2023.03.22 | 수정일 2023.04.02
  • 건국대학교 전기전자기초실험1 14주차 결과보고서 A+
    플립플롭의 클럭과 입력신호는 NE555 타이머 IC소자를 이용하여 생성한다.NAND 게이트(CD4011B), NE555 타이머 1개, 저항 7.5kΩ, 커패시터 1F, 10F, 직류 ... 플립플롭의 클럭과 입력신호는 NE555 타이머 IC소자를 이용하여 생성한다.JK 플립플롭 IC(SN74LS76A), NE555 타이머 3개, 저항 2.5kΩ, 7.5kΩ, 10kΩ, ... [S=0, R=0][S=0, R=5][S=5, R=0, CLK=1][S=5, R=5, CLK=1](4)의 표와 SR 플립플롭의 진리표, 모의실험의 결과를 비교하시오.모두 동일하다.클럭
    리포트 | 11페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 7장 순차논리회로 설계 및 구현(1) 결과
    또한 LED의 불이 변하는 주기는 우리가 클럭 펄스를 인가해준 주파수만큼 변하게 되고 A와 B가 ‘H’가 되는 부분에서는 LED가 잠깐(클럭 펄스의 주기만큼) 켜지게 된다. ... 하다가 출력값이 클럭 펄스에 따라 변화하기 때문에 멀티미터로 ‘H’, ‘L’의 값을 측정함으로써는 결과값을 얻을 수 없었다. ... 이는 클럭 펄스가 어떤 에지트리거에서 입력값이 ‘H’가 들어오기 때문에 상태가 반복 되는 지점에서는 동일한 LED가 켜졌다 꺼졌다하는 것을 볼 수 있었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.06
  • D-latch,D-flip-flop,J-K-flip-flop 예비레포트
    하향 에지 트리거는 클럭 입력이 1에서 0으로 변하는 구간에만 입력값들이 반영된다. en=0 이면 클럭을 무시하고 현재 출력 상태를 유지하며, en=1 이면 정상동작한다. ... 상승 에지 트리거는 클럭 입력이 0에서 1으로 변하는 구간에만 입력값들이 반영된다. ... -d flip-flopClock enable D 플립플롭은 클럭 입력에 반응하여 출력의 상태를 바꾸는 기억 소자이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.21
  • [논리회로실험] Counter_ 예비보고서
    클럭펄스를 이진수로 표시했을 경우 최하위 자리수가 되며 두 번째 소자는 두 번째 자리수가 되는 방식으로 출력을 나타냄- 2단의 2진 계수기는 클럭의 주파수를 1/4로 변환했다고 볼 ... 다음 클럭에서 두 플립플롭은 모두 J=K=1 상태이므로 toggle에 의해 A=0, B=1이 된다. ... 실험이론1) Counter- 클럭펄스를 세어서 수치를 처리하기 위한 논리회로- 반복해서 일어나는 현상의 수를 셈한다.- 플립플롭 회로로 구성한 2진 계수기와 변형된 n진 계수기, 링
    리포트 | 9페이지 | 1,000원 | 등록일 2021.06.03 | 수정일 2023.03.29
  • 디지털 논리회로 실험 8주차 D-FlipFlop 예비보고서
    즉 입력 T 와 Q 그리고 클럭 또는 T 와 Q’ 그리고 클럭이 입력으로 사용된다.?진리표를 살펴보면?클럭이 1이라 가정을 했을 때? ... 즉 입력 T 와 Q 그리고 클럭 또는 T 와 Q’ 그리고 클럭이 입력으로 사용된다.?진리표를 살펴보면?클럭이 1이라 가정을 했을 때? ... 클럭이 0일 때는 입력D값에 상관없이 변하지 않는다. 즉 기억하고 있다는 것이다.?클럭이 1이 되면 D값에 의해 출력값이 변한다.?클럭이 1이라 가정한다면,?
    리포트 | 8페이지 | 1,500원 | 등록일 2021.04.22
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(결과) / 2021년도(대면) / A+
    S-R 플립플롭- S-R 래치에 클럭을 추가한 회로SRCLKQ00Q0(이전 출력값)10101011(입력 금지)c. ... D 플립플롭- 오직 하나의 데이터 입력을 갖음.- 클럭이 발생하였을 때, 입력 D의 상태를 Q에 전달함.DCLKQ0011(2) 데이터의 저장과 전송a. ... J-K 플립플롭- J=K=1인 조건을 제외하고, S-R 플립플롭과 동일한 상태를 제어함.- J=K=1인 조건에서 플립플롭은 클럭의 신호에 대하여 항상 출력값을 반전시킴.JKCLKQ00Q0
    리포트 | 18페이지 | 2,000원 | 등록일 2022.07.16
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서8
    그러나 외부 클럭이 요구되고 타이밍 정확성은 클럭의 입상에 의해 제한된다.? ... 이 비트는 n번의 클럭 주기 후 직렬출력에 나타나며, 이후 한 클럭 후에는 없어진다. ... Clear 신호는 클럭 신호가 인에이블 되기 전에 모든 레지스터를 ‘0’ 상태로 만드는데 사용?
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • 실험7. 플립플롭 예비보고서
    필요한 플립플롭의 클럭 신호는 수정 발진기 등을 이용하여 생성한다. 복잡한 회로는 많은 플립플롭이 같은 클럭신호를 사용하므로 전용의 클럭 배선이 필요한 경우도 있다. ... 이 경우 플립플롭은 비동기로 Q의 신호가 결정되고 이 신호가 액티브 되면 클럭과 입력은 무시되는 최우선 신호이다. ... 디지털 공학에서 입력을 출력에 반영하는 시점을 클럭 신호의 순간 엣지에서 반영하는 플립플롭과, 입력에 따라 항상 반영되는 래치로 구분된다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.05.26
  • 쉽게 배우는 AVR ATmega128 마이크로컨트롤러 11장연습문제
    SPI2X=1이면 SCK클럭 속도가 2배가 된다.SPSR(SPI Status Register)SPSR은 SPI동작 상태를 나타내거나 SCK클럭 주파수를 설정하는 레지스터이다.1. ... CPHA(Clock Phase)- 데이터 샘플링 동작의 SCK클럭 신호 위상을 성정하는 비트로서, CPHA=0으로 하면 SCK클럭 신호가 Leading edge에서 데이터 샘플링이 ... SPI는 클럭을 자동으로 발생하고 데이터를 1비트씩 쉬프트하여 슬레이브로 전송한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.06 | 수정일 2021.06.18
  • 실험3 순차논리회로기초 - 교류및전자회로실험
    필요한 플립플롭의 클럭 신호는 수정 발진기 등을 이용하여 생성한다. 복잡한 회로는 많은 플립플롭이 같은 클럭신호 를 사용하므로 전용의 클럭 배선이 필요한 경우도 있다. ... JK 플립플롭은 입력이 J 와 Q 그리고 클럭의 3 가지가 NAND 게이트에 입력된다. K 와 Q’ 그리고 클럭 역시 마찬가지다. ... D 플 립플롭의 동기를 위한 클럭신호는 상승엣지와 하강엣지를 사용한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.03.20
  • 홍익대학교 전전 실험1 레지스터 예비보고서
    클럭펄스가 있을 때, 1이 입력되면 1은 플립플롭1의 출력으로 그대로 전달된다.(플립플롭2의 입력으로) 4번째 클럭펄스 후에 플립플롭4의 출력은 1이 된다. ... 단안정 멀티바이브레이터와 비교해서 타이밍은 구성요소의 값에 의존하지 않으나 외부 클럭이 요구되고 타이밍 정확성은 클럭의 입상도(granularity)에 의해 제한된다. ... 그러므로 클럭 펄스 CLK가 하나 들어오면 플립플롭의 4개 출력Q _{3},Q _{2},Q _{1},Q _{0}는 각각 0, 0, 0, 1 으로 되고 클럭 펄스의 수만큼 1이 오른쪽으로
    리포트 | 6페이지 | 2,000원 | 등록일 2020.12.25
  • 디지털 시계 만들기 제안서
    4071 IC에 각각 스위치가 눌리는 경우와 초(분)의 십의 자리 숫자가 클럭 펄스를 인가하는 경우 를 연결하고 출력 값을 분(시)의 일의 자리 카운터의 클럭으로 연결한다.? ... 0으로 변경될 때 클럭 펄스를 받아 1이 상승하게 된다. ... 돌아가 9까지 카운트하는 것을 반복한다.1의 자리 카운터에서 클럭 펄스를 넘겨받은 십의 자리 카운터는 클럭 펄스를 받을 때마다 0부터 5까지 총 6가지의 숫자를 카운트한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2021.06.01
  • JK 플립플롭
    그림 16-2(b)와 같이 펄스 발생기에 LED 클럭 표시기를 추가하여, 클럭 펄스와 출력을 동시에 관찰할 수 있도록 하여라. ... 있는 플립플롭의 출력이 뒤쪽에 있는 플립플롭의 클럭으로 사용된다. ... 토글(toggle)모드에서는 출력 주파수가 클럭 주파수와 같지 않다는 것을 관찰하여라.
    리포트 | 18페이지 | 4,000원 | 등록일 2021.10.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대