• 통큰쿠폰이벤트-통합
  • 통합검색(3,522)
  • 리포트(3,349)
  • 시험자료(85)
  • 방송통신대(44)
  • 논문(27)
  • 자기소개서(16)
  • ppt테마(1)

"클럭" 검색결과 61-80 / 3,522건

  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서6
    다시 말해 Active High의 래치라면 클럭이 High인 동안의 모든 입력 D가 출력 Q에 나타나고, 클럭이 Low신호로 되기 직전 마지막 신호가 클럭 Low동안 Q에 나타나는 ... 클럭 입력이 활성화되면, 입력되고 있는 데이터가 입수되어 저장되고, 즉시 또는 클럭 입력이 비활성화 될 때 출력 측으로 전달된다. ... 이 R-S latch에 기본 회로에 클럭 입력(C)을 추가하여 만든 플립플롭이 R-S F/F이다. F/F는 한 클럭 펄스 발생 기간 동안에만 입력에 응답하도록 동작한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 아주대학교 논리회로실험 / 7번 실험 Shift Register 결과보고서
    클럭마다 오른쪽으로 시프트되는지 확인한다.CLKbit1bit2bit3bit4bit5bit60*************20011003000110400001150000016000000클럭초기클럭1클럭2클럭3출력 ... )출력(0,0,1,1,0)출력(0,0,0,1,1)클럭4클럭5클럭6클럭7출력(1,0,0,0,1)출력(1,1,0,0,0)출력(0,1,1,0,0)출력(0,0,1,1,0)실험 3에서는 실험 ... 클락을 인가하면서 결과를 확인한다.CLKL0L1L2L3L4011000101100200110300011400001500000클럭초기클럭1클럭2클럭3출력(1,1,0,0,0)출력(0,1,1,0,0
    리포트 | 7페이지 | 1,000원 | 등록일 2021.07.20
  • [논리회로설계실험]VHDL을 활용한 LCD설계
    ,load_100k,cnt_100k)-100khz클럭 설정100khz의 클럭을 설정하는 process로, 클럭 카운트 값을 이용하여, 현재 250ns(4Mhz)의 클럭을 쓰고 있으므로 ... 클럭설정50hz의 클럭을 설정하는 process로, 앞서 만든 100khz 클럭을 200번 세서, 5000ns*200=1ms 간격으로 0과 1이 반복된다.2-3) process(FPGA_RSTB ... 클럭을 만드는 과정이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    클럭 인가 시 클럭 rising-edge 마다 (Q3 Q2 Q1 Q0) 값이 0000 -> 0001 -> 0010 -> … -> 1001 반복, 1001 에서 다음 클럭 rising-edge ... 실험에서는 10진 카운터로 74HC192 칩을 사용한다.74HC192 는 0~9 까지 카운트 후 다시 0을 출력하는 10진 카운터로 up 카운트용 클럭 핀과 down 카운트용 클럭 ... 이 신호를 두번째 74HC192칩에 cascade 로 연결 할 경우 그 칩의 클럭으로 사용된다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • 디지털 논리회로의 응용 카운터/시프트레지스터
    고주파수 클럭에서의 동작을 비동기식 카운터와 비교한다. ... 비동기식 카운터는 첫 단의 플립플롭에 클럭 신호가 인가되어 이 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어있는 회로를 말하며, 클럭의 영향이 물결처럼 후단으로 ... 클럭 펄스를 적용하게 되면 데이터가 오른쪽으로 1비트 이동하게 된다.
    리포트 | 16페이지 | 2,000원 | 등록일 2022.03.03
  • 11. 카운터 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    문제의 조건대로 입력 클럭 신호에 버튼 스위치를 연결하면 버튼이 내려갔다가 올라올 때마다 입력 클럭 신호가 falling edge로 인식되어 Q3, Q2, Q1이 000 -> 001 ... 단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다. ... 입력 클럭 신호의 1/4에 해당하는 주파수를 가지게 되므로, 0.25MHz이다.11-3-2 8진 비동기 카운터 설계8진 비동기 카운터의 회로도를 그린다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.06
  • [디지털공학개론] 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    상향 카운터와 하향 카운터가 있으며, 상향 카운터는 플립플롭이 클럭 펄스의 하강 에지에서 변화하고, Q A에서 입력 클럭 주파수의 1/2, Q B에서 1/4, Q C에서 1/8 및 ... 하향카운터는 플립플롭이 클럭 펄스의 상승 에지에서 변화하며 Q A에서 입력 클럭 주파수의 1/2, Q B에서 1/4, Q C에서 1/8 및 Q D에서 1/16 주파수의 구형파를 얻는다.주변에서 ... 클럭의 각 상승 에지에서 입력 단자로 들어온 직렬 데이터가 쉬프트하여 저장된다.
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.30
  • [논리회로실험] 실험7. Shift Register 결과보고서
    shift되는지 확인한다.실험결과QAQBQCQDQE011000101100200110300011400001모든 다이오드가 꺼지고, A, B, C, D, E, PF = 1을 입력, 클럭을 ... 5bit shift right register를 구성한다.- 9번과 8번 핀에 0을 입력한다.- CLR로 모든 정보를 초기화 한다.- A, B, C, D, E, PE = 1을 입력, 클럭을 ... 인가한 후 불이 모두 들어오는지 확인한다.- 다시 CLR로 모든 정보를 초기화 하고, A, B = 1, PE = 0 -> 1 -> 0을 입력, L0와 L1에 불이 들어오는지 확인하고 클럭
    리포트 | 5페이지 | 1,000원 | 등록일 2023.05.27
  • 정실, 정보통신기초설계실습2 10주차 결과보고서 인하대
    클럭이 1에서 0으로 하강하면 입력데이터에 어떤 값이 입력되건 출력값이 변하지 않는다. 그러나 클럭이 0에서 1로 상승하면 출력은 입력데이터와 같아진다. ... 그리고 클럭 신호에는 실험조건 180Hz 펄스 신호를 입력한다. ... 따라서 클럭 신호가 1에서 0으로 하강하는 부분에서는 D의 값에 따른 출력의 변화가 없다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.31
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(예비) / 2021년도(대면) / A+
    S-R 플립플롭- S-R 래치에 클럭을 추가한 회로SRCLKQ00Q0(이전 출력값)10101011(입력 금지)c. ... D 플립플롭- 오직 하나의 데이터 입력을 갖음.- 클럭이 발생하였을 때, 입력 D의 상태를 Q에 전달함.DCLKQ0011(2) 데이터의 저장과 전송a. ... J-K 플립플롭- J=K=1인 조건을 제외하고, S-R 플립플롭과 동일한 상태를 제어함.- J=K=1인 조건에서 플립플롭은 클럭의 신호에 대하여 항상 출력값을 반전시킴.JKCLKQ00Q0
    리포트 | 16페이지 | 2,000원 | 등록일 2022.07.16
  • 충북대 기초회로실험 카운터 회로 예비
    또한 글리치 등의 염려가 없고, 빠른 클럭신호에 의해 구동할 수 있다.동기식, 병렬식 및 클럭(Clocked) 카운터는 모든 단이 클럭펄스에 의해 동시에 트리거 되는 카운터이다. ... 상태를 바뀐다.이에 반해 비동기식 카운터는 첫 단의 플립플롭에 클럭신호가 인가되어, 이 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어 있는 회로를 말하며, 클럭의 ... 신호가 인가되고, 다른 플립플롭들은 앞의 플립플롭의 출력이 다음 단의 플립플롭에 클럭 신호로 인가된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10
  • [a+취득자료] CPU의 데이터 처리 속도는 컴퓨터의 성능을 평가하는 중요한 요인이라 할 수 있다. CPU의 데이터 처리 속도는 여러 가지 요소에 의하여 좌우될 수 있다. CPU의 데이터 처리 속도를 높이기 위한 방안(요소)들에 대하여 기술하시오.
    이에 영향을 미치는 요인은 다음과 같다.1) CPU의 클럭 속도클럭 속도란 곧 CPU자체가 주어진 연산을 얼마나 빠르게 할 수 있는가를 표시하는 지표이다. 1초당 몇 단계의 작업이 ... 처리될 수 있는지에 따라 Hz 단위로 표시하며, 클럭 수가 높은 CPU일수록 같은 시간 동안 더 많은 연산을 처리할 수 있다. ... 전기전자 기술의 발달을 토대로 CPU의 클럭 수를 증가시키거나 또는 하나의 CPU 내에 더 많은 코어가 포함될 수 있도록 만드는 것이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2023.08.08
  • 아날로그 및 디지털 회로 설계실습 결과보고서12
    서론Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는 회로를 ... 설계 실습 내용 및 분석2.1 기본적인 클럭 생성 회로 및 카운터 회로 테스트(A) Function generator를 이용하여 사용하고자 하는 1Hz의 Clock 신호를 만들어 낸다.Frequency
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 마이크로프로세서 실험- 타이머와 카운터
    사용하여 타이머를 동작시킬 때 나타나는 문제를 해결하기 위해 클럭을 분주하여 더 느린 타이머 클럭을 만듦.- 4MHz 클럭을 사용하는 경우 그 클럭의 주기는 250ns- 이 클럭으로 ... ◆타이머 0- 클럭소스 : TOSC1에 입력된 시스템 클럭 주파수의 1/4미만의 외부클럭, OSC1/TOSC2단자에 연결된 수정 진동자에 의해 발생된 클럭, 내부 클럭- 분주비 : ... 내부클럭 : 7.3728MHz- 프리스케일시간을 정확히 세기 위한 타이머 클럭의 수 결정.- 1 주기당 타이머 클럭 개수 = 타이머 클럭 주파수 * 타이머 시간- 만약 10ms의 타이머를
    리포트 | 16페이지 | 2,000원 | 등록일 2020.10.05
  • [A+]중앙대학교 아날로그및디지털회로설계실습 Stopwatch 설계 예비보고서
    핀과 down 카운트용 클럭핀이 별도로 있어서 목적에 맞는 클럭 핀에 클럭을 인가하면 된다. ... /down 카운트 모드를 선택하여 사용할 수 있지만 74HC190/191 칩은 별도의 enable 신호로서 up/down 모드를 선택하며 74HC192/193 칩은 up 카운트용 클럭 ... 실습목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet를 읽고 분석하는 능력과 원하는
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.02
  • 아주대학교 논리회로실험 / 8번 실험 Counter 결과보고서
    증빙하겠습니다.DCBAabcdefg00001111110000101100000010110110100111111001010001100110101101101101100011111011111100001000111111110011110011클럭0클럭1클럭2클럭3출력0출력1출력2출력3클럭4클럭5클럭6클럭7출력4출력5출력6출력7클럭8클럭9출력8출력9실험 ... 초기값 A,B = 0에서 클럭을 늘려가며 결과를 확인한다.(※ 다이오드의 순서는 내림차순으로 A`B` AB` A`B AB )CLKABA'B'*************1031100클럭0클럭1클럭2클럭3출력 ... 이렇게 회로를 만드는 경우, 천이 시간이 존재하지 않기 때문에 클럭이 변하는 순간 A와 B가 동시에 변한다. 즉, 한 클럭에 따다닥 다 변하는 동기식 카운터가 된다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • [논리회로실험] 실험8. Counter 결과보고서
    그러므로 toggle에 의해 A=1, B=0이 되며 다음 클럭에서 두 플립플롭은 모두 J=K=1 상태이므로 toggle에 의해 A=0, B=1이 된다. ... 비동기식 카운터는 CLK 값이 첫 번째 플립플롭에만 인가되는 회로이기 때문에 그 다음 플립플롭의 클럭 입력값은 앞 단의 플립플롭의 출력값으로 인가된다. ... 그러므로 toggle에 의해 A=1, B=0이 되며 다음 클럭에서 두 플립플롭은 모두 J=K=1 상태이므로 toggle에 의해 A=0, B=1이 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.03.28
  • 홍익대학교 전전 실험1 플립플롭 예비보고서
    클럭펄스가 1로 될 때는 마스터를 동작시키고 , 0으로 될 때는 슬레이브를 동작?클럭펄스가 1로 될 때는 마스터를 동작시키고 슬레이브를 차단? ? ... 반면에 플립플롭은 클럭 신호가 0에서 1로 변화되는 시점에만 출력 값이 변하게 되며, 클럭 신호가 1인 동안에 SR 입력이 변해도 출력은 변하지 않는다. ... 펄스가 상승 모서리에서 동작됨음 의미클럭 펄스가 들어을 때만 입력 S와 R에 대한 출력Q와bar Q를 나타내는 플립플롭이라 할수 있다클럭 펄스가 들어와서 CLK가 "1"의 상태로
    리포트 | 8페이지 | 2,000원 | 등록일 2020.12.25
  • 동기식,비동기식 카운터 예비레포트
    클럭 펄스의 인가 방식에 따라 비동기식, 동기식으로 구분된다. ... 위의 그림에서 사용된 4개의 플립플롭 클럭단자가 공통으로 연결되어 있지 않고 대신 플립플롭의 출력이 다른 플립플롭의 클럭으로 사용됨을 볼 수 있다.카운터의 모듈러스라 함은 카운터가 ... 클럭펄스를 세어서 발생 횟수를 세거나, 동작 순서를 제어하기 위한 타이밍 신호 생성에 적합하다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 7장 순차논리회로 설계 및 구현(1) 예비
    [그림 7-7] 셋업타임, 홀드타임, 전달지연시간3) 최대 클럭 주파수최대 클럭 주파수는 회로가 정상적으로 동작할 수 있는 클럭의 최대 주파수를 말한다. ... 바꿔 말하면 최대 클럭 주파수이다.사. ... 전달지연시간에는 최대값, 대푯값, 그리고 최소값이 있다.플립플롭은 클럭에 반응하여 출력이 변화되기 때문에 클럭에 대한 전달 지연 시간은 그림 7-7에 나타낸 것과 같이t _{pLH}
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대