• 통큰쿠폰이벤트-통합
  • 통합검색(3,522)
  • 리포트(3,349)
  • 시험자료(85)
  • 방송통신대(44)
  • 논문(27)
  • 자기소개서(16)
  • ppt테마(1)

"클럭" 검색결과 101-120 / 3,522건

  • 아날로그 및 디지털회로설계실습 (카운터 설계)예비보고서
    Q1 신호는 2T마다 바뀌고 Q2 신호는 4T마다 바뀌므로 비동기식 4진 카운터에 Q1 신호는 입력 클럭 신호의 1/2에 해당하는 주파수를 가지게 되고 Q2 신호는 입력 클럭 신호의 ... 이 때 입력 클럭 신호에 버튼 스위치를 연결하면 버튼이 내려갔다가 올라올 때마다 입력 클럭 신호가 falling edge로 인식되어 (Q3, Q2, Q1)이 000 001 010 011 ... 입력 클럭 신호를 function generator를 사용해서 넣어주면 입력 클럭 신호의 falling edge마다 (Q4, Q3, Q2, Q1)이 0000 0001 0010 0011
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.24
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Counter 실험결과보고서
    그림에서 보듯 클럭 펄스가 공동으로 각 플립플롭에 들어감을 확인할 수 있다. - 비동기식 카운터(Asynchronous counter=Ripple counter): 한 플립플롭의 ... . - 동기식 카운터(Synchronous counter): 여러 개의 플립플롭이 변경되어야 할 때 상태 변화 가 동시에 발생하도록 공통 클럭 펄스에 의해 동기화된 플립플롭의 작동위의
    리포트 | 5페이지 | 2,500원 | 등록일 2023.02.28
  • (A+ report) 컴퓨터의 이해 - 마이크로프로세서에 대하여 설명하라. 마이크로프로세서의 역사, 구조, 최신 동향에 대하여 설명하라.
    동일한 실행 시간에 결과를 구현하기 위해 단 하나의 클럭 사이클만 필요로 한다. ... 이 CPU에는 연산장치(arithmetic and logic unit, ALU), 제어장치, 레지스터, 버스 시스템 등으로 구성되어 있고 컴퓨팅 작업을 수행하기 위한 클럭을 갖추고 ... 부동소수점 표기법을 사용하면 훨씬 큰 숫자를 나타낼 수 있다.이름발명 연도클럭 속도트랜지스터 수초 당 inst.인텔 803861986(기타 버전 80386DX, 80386SX, 80386
    방송통신대 | 11페이지 | 6,000원 | 등록일 2022.04.06
  • 9주차-실험19 결과 - 카운터 회로
    A에 HIGH를 입력하며 입력이 하나씩 나오게 하면서 쉬프트되어 led 전구에 불이 들어오는 개수가 클럭이 상승할 때마다 하나씩 증가하게되어 클럭이 8번째 상승하였을 때, 모든 다이오드에 ... 설계한 것인데, 4개의 플립플롭이 모두 같은 클럭 입력을 받아서 작동하는 동기식 카운터 입니다. ... 이전 플립플롭의 출력Q를 다음 플립플롭의 클럭 입력에 넣기 때문에 비동기 플립플롭이라고 할 수 있습니다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.02
  • 조선대학교 컴퓨터구조 2024 4장(기말) 개념 문제
    •C) 클럭 속도가 느려진다.•D) 명령어가 다시 실행된다. ... •A) 설계 간소화•B) 전력 소비 감소•C) 처리량 증가•D) 클럭 주기 증가•E) 지연 시간 증가정답: C) 처리량 증가단답형 문제1.단일 사이클 데이터 경로에서 한 사이클의 시간 ... •A) 동기화 신호를 통해•B) 클럭 신호를 통해•C) 명령어 디코딩을 통해•D) 데이터 경로를 통해•E) 제어 경로를 통해정답: C) 명령어 디코딩을 통해3.EX 단계에 전달되는
    시험자료 | 120페이지 | 3,000원 | 등록일 2024.06.13
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    클럭을 만드는 과정이다. ... 클럭을 설정하는 process로, 앞서 만든 100khz 클럭을 200번 세서, 5000ns*200=1ms 간격으로 0과 1이 반복된다.3. process(FPGA_RSTB, clk ... 이용하여, 100Khz의 클럭을 만드는 과정이다. 250ns의 주기를 가진 클럭을 20개를 카운트(cnt_100k) 하여 조건문을 만들고, 카운트 된 값을 기준으로, Process
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    HDL의 시뮬레이터는 디지털 기기의 실제 클럭과 유사한 리셋 가능한 클럭을 유지하고 설계자가 코드를 디버그하기 위해 특정 시간 동안의 다양한 레지스터의 값들을 볼 수 있도록 해준다. ... 가장 간단한 플립플롭이다.D 래치와는 달리 D 플립플롭은 입력이 아닌 클럭에 반응하여 출력이 변한다. ... 지연 소자의 일종으로 입력이 다음 활성 클럭이 나타날 때까지 지연된 후 출력된다. [4]5) T Flip FlopT 플립플롭은 다음 출력 값이 입력 값의 반전이 되는 플립플롭이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 7주차-실험17 결과 - 쉬프트 레지스터
    클럭과 함께 있는 사진은 클럭과 거의 일치해 보이지만 실제로는 움직이는 모습으로 보면 완전히 일치하지는 않고 약간의 간격이 있었습니다. ... 이때 SI=0, Shift=0으로 하고 누름 스위치로 클럭 펄스를 한 번 가한 후의 출력을 기록하라. ... 결과 사진들은 움직이는 모습의 일부를 정지시켜서 찍은 것도 있고, 클럭과 함께 보이게 한 사진도 있습니다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.02
  • [A+]중앙대 아날로그및디지털회로설계실습 예비보고서11 카운터 설계
    동기 카운터 회로도동기 카운터 회로라서 클럭 신호를 동시에 받아서 출력을 낸다.- 파형 ... .- 파형입력 클럭은 스위치를 통해 조절할 수 있고 시뮬레이션은 정해진 시간마다 switch가 바뀌도록 하였다.11-3-3- 10진 비동기 카운터 회로도: CLK 입력을 switch로 ... 출력부분에는 LED를 연결해서 상태를 볼 수 있다.- 파형입력 클럭은 스위치를 통해 조절할 수 있고 시뮬레이션은 정해진 시간마다 switch가 바뀌도록 하였다.11-3-4- 16진
    리포트 | 4페이지 | 1,000원 | 등록일 2021.10.09
  • 4장 각종 Latch와 Flip-Flop 예비
    이렇게 클럭에 따라 출력이 변하는 RS 플립플롭을 클럭 동기 RS 플립플롭이라 하며 그 구성은 그림과 같다. ... 클럭 동기 RS 플립플롭의 입력 R, S와 클럭 펄스 CP에 따른 출력 Q와 Q'의 파형을 그림 4-3(b)에 나타내었다. ... 이렇게 구성된 회로의 동작은 다음과 같다.D='L'클럭 동기 RS 플립플롭의 S='L', R='H'인 경우와 같게 되어 Q='L', Q'='H'가 된다.D='H'클럭 동기 RS 플립플롭의
    리포트 | 8페이지 | 1,000원 | 등록일 2021.01.06
  • 순차논리회로기초 실험 예비보고서
    필요한 플립플롭의 클럭 신호는 수정 발진기 등을 이용하여 생성한다. 복잡한 회로는 많은 플립플롭이 같은 클럭신호를 사용하므로 전용의 클력 배선이 필요한 경우도 있다. ... 디지털공학에서 입력을 출력에 반영하는 시점을 클럭 신호의 순간 엣지에서 반영하는 플립플롭과, 입력에 따라 항상 반영되는 래치로 구분된다. ... D 플립플롭은 입력 D의 값을 클럭의 엣지(edge)에서 캡처해서 Q에 반영한다. 엣지가 발생하지 않는 시간에는 Q가 변하지 않고 유지한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.04 | 수정일 2021.04.14
  • [부산대학교][전기공학과][어드벤처디자인] 4장 아두이노 통신 (UART, SPI, 블루투스) (4주차 예비보고서) A+
    전송을 위한 당자로, 마스터에서 슬레이브로 클럭을 전송한다. ... 이때 마스터가 통신을 시작하면 SDA가 LOW로 내려가며 이를 통해 통신시작을 감지한 SCL이 클럭의 역할을 하게 된다. ... 반대로 통신이 완료되었을 때는 마스터가 클럭으로 신호를 주게 된다. SCL을 HIGH상태로 다시 돌려 SDA를 HIGH상태로 만들고 통신이 완료되는 것이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.25
  • 서강대학교 디지털논리회로실험 - 실험 6. Flip-flops and Shift Registers 예비 보고서
    클럭 신호가 1인 경우 master의 출력인Q_{ m}에 입력 D의 값이 저장되며 클럭 신호가 0으로 바뀌는 순간Q_{ m}의 값이 출력 Q로 전달된다. ... 클럭 신호가 0인 경우 D값에 관계 없이 출력은 현재의 상태를 유지하며 클럭 신호가 1인 경우 출력은 D값에 의해 결정된다. Graphical symbol은 [그림 8]과 같다. ... 따라서 가급적 S=R=1이 되는 것을 피하는 것이 바람직하다.4) Gated SR latchSR latch의 입력에 클럭 신호를 추가하여 클럭 신호가 1이 되는 경우에만 입력이 출력에
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 논리회로실험 A+예비보고서 6 Latch & Flip-flop
    -클럭 입력이 활성화되면, 입력되고 있는 데이터가 입수되어 저장되고, 즉시 또는 클럭 입력이 비활성화될 때 출력 측으로 전달된다. ... 출력은 클럭이 다시 활성화될 때까지 그 값을 유지한다. ... -하나의 데이터 입력, 하나의 클럭 입력, 하나의 출력을 갖는다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.10.09
  • 실습 11. 카운터 설계 예비보고서
    단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다. ... 신호에 비해 1/2배의 주파수를 갖게 되고 Q2 출력은 입력 클럭 신호에 비해 1/4배의 주파수를 갖게 된다. ... , Q1, Q2, Q3 출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 연결한다.출력에 LED를 연결해서 출력 상태를 확인할수 있다.Q1 출력은 입력 클럭
    리포트 | 4페이지 | 2,000원 | 등록일 2022.09.19
  • 마이크로프로세서+5주차+예비보고서 타이머와 카운터
    클럭과 8비트 타이머/카운터클럭은 일정한 시간 간격으로 0과 1의 값을 번갈아 나타낸 것으로 대개 모든 기계에 클럭이 작동하는 장치가 있다. ... 즉, 만약 TCNT0가 00001100이라면 클럭 수가 이미 12개의 클럭이 나타남을 알 수 있다. ... ATMega128또한 클럭을 나타내는 장치가 있으며 이를 내부clk라 한다. 내부clk은 아래 그림과 같이 작동하며 이에 대한 설정은 대개 클럭의 속도으로 결정한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 디지털 논리회로 실험 8주차 D-FlipFlop 결과보고서
    즉 입력 T 와 Q 그리고 클럭 또는 T 와 Q’ 그리고 클럭이 입력으로 사용된다.?진리표를 살펴보면?클럭이 1이라 가정을 했을 때? ... 즉 입력 T 와 Q 그리고 클럭 또는 T 와 Q’ 그리고 클럭이 입력으로 사용된다.?진리표를 살펴보면?클럭이 1이라 가정을 했을 때? ... 클럭이 0일 때는 입력D값에 상관없이 변하지 않는다. 즉 기억하고 있다는 것이다.?클럭이 1이 되면 D값에 의해 출력값이 변한다.?클럭이 1이라 가정한다면,?
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 예비보고서(3)-Shift_Register-시프트레지스터
    클럭 펄스CLK 가 하나 들어오면 플립플롭의 4개 출력Q _{3}Q _{2}Q _{1}Q _{0}는 각각 0,0,0,1 으로 되고, 클럭 펄스의 수만큼 1이 오른쪽으로 이동하게 된다 ... 그러므로 클럭 펄스CLK 가 하나 들어오면 플립플롭의 4개 출력Q _{3}Q _{2}Q _{1}Q _{0}는 각각 0,0,0,1 으로 되고, 클럭 펄스의 수만큼 1이 오른쪽으로 이동하게 ... 클럭 펄스 CLK5가 인가되면 두 번째 비트가 시프트되어Q _{3} 출력에 나타난다.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.14
  • [A+보고서] 회로실험 쉬프터 레지스터 결과보고서
    플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킨다. ... 즉 클럭펄스 4개가 인가되면 레지스터 2에는 레지스터 1에 기억되었던 것이 들어오게 되고, 레지스터 2에 기억되었던 정보는 병렬출력으로서도 전송할 수 있다. ... 이와 같이 반복하여 1001을 네 번 클럭펄스를 사용하여 차례로 직렬로 입력시키면서 그때마다 ABCD의 출력을 관측하여 표를 만들어라.DataCLKABCD1↑10000↑01001↑10101
    리포트 | 4페이지 | 1,000원 | 등록일 2022.12.22 | 수정일 2024.07.21
  • 7주차 예비보고서- 디지털 시스템 설계 및 실험
    Q0플립플롭에 연결되는 클럭은 외부의 입력이며, 위에서 사용한 플립플롭은 클럭의 Falling Edge( High에서 Low로 바뀌는 순간 )에 동작한다. ... 클럭으로 3822.25..회 카운트를 반복적으로 하면, “도”음에 해당하는 주파수의 주기로 카운트가 된다. ... 다음의 결과를 살펴보면 클럭이 발생 할 때마다 1씩 더해 지는 3비트 2진 카운터로 구성되는 것을 알 수 있다.?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:33 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대