• 통큰쿠폰이벤트-통합
  • 통합검색(247)
  • 리포트(246)
  • 시험자료(1)

"toggle스위치" 검색결과 181-200 / 247건

  • 디지털공학실험 플립플랩 예비보고서
    출력이 분리되어 레이스 문제가 최소로 감소한다◐ 클럭 펄스가 가해지고 있는 동안 입력이 변한다면 플립플롭 회로가 원치않는 결과를 낼수도 있다4.T 플리플롭◐ T 플립플롭은 토글(toggle ... 구성하고 그 각각에 대하여 절차 (1)을 반복하여 표 1(b)에 기록하고 파형을 그림 1(b 에 도시한다.(3) 7400 NAND 게이트를 이용하여 회로 (c)를 구성하고 데이터 스위치로 ... B의 마스터와 슬레이브로 구성되어 있음을 알 수 있다4.실험순서(1) 디지털 실험기판 위에 7400 NAND 게이트를 이용하여 RS flip-flop 회로 (a)를 구성하고 데이터 스위치
    리포트 | 3페이지 | 1,000원 | 등록일 2009.09.26
  • 디지털공학실험 17장 J-K 플립-플롭(예비)
    J-K 플립-플롭은 근본적으로 클럭-구동 S-R 플립-플롭(clocked S-R flip-flop)과 같으며, 단지 S-R 플립-플롭의 무효 출력 상태를 토글(toggle)이라 부르는 ... 플립-플롭의 전달 지연 특성 측정■ 사용 부품- 7476 quad J-K 플립-플롭- LED: 적색, 녹색, 황색 각 1개- 저항 : 330Ω 3개, 1.0 KΩ 4개- 4비트 DIP 스위치 ... 이것은 마치 문이 열려져 있는 경우에 누르면 문은 닫히고, 반대로 닫혀 있는 경우에 누르면 문이 열리는 차고의 자동문 스위치 동작에 비유할 수 있다.J-K 플립-플롭은 앞서 소개한
    리포트 | 7페이지 | 2,500원 | 등록일 2010.04.06
  • 예비-측정기기들과 RC과도 응답
    Slope switchtoggle 시켜 보아라. 왜 화면에 나타나는 파형의 모습이 변하는가? ... 따라서 아마도 slope switchtoggle시킨다면 원래의 파형에서 위상이 180도 바뀐 파형으로 신호가 나타날 것이다.중요한 것은 실제 신호는 Function generator에서 ... ▶ Slope switch는 +,-로 표시되어 sweep의 triggering이 trigger signal의 positive 또는 negative에 의해 영향을 받았는지를 결정하는
    리포트 | 9페이지 | 1,500원 | 등록일 2008.12.10
  • 디지털공학실험 플립플롭 예비보고서
    된다-CLK는 클럭펄스를 나타내며 Qn+1은 n+1번째의 클럭펄스가 들어 왔을 때의 출력을 의미-데이터 전송할 때 유용회로도 표시기호 진리표(5) T 플립플롭-T 플립플롭은 토글(toggle ... 슬레이브로 구성되어 있음을 알 수 있다회로도진리표4.실험순서(1) 디지털 실험기판 위에 7400 NAND 게이트를 이용하여 RS flip-flop 회로 (a)를 구성하고 데이터 스위치로 ... 구성하고 그 각각에 대하여 절차 (1)을 반복하여 표 1(b)에 기록하고 파형을 그림 1(b 에 도시한다.(3) 7400 NAND 게이트를 이용하여 회로 (c)를 구성하고 데이터 스위치
    리포트 | 4페이지 | 1,000원 | 등록일 2009.03.27
  • MCCB의 작동원리와 구조
    소형이며 조작이 안전하고 fuse를 끼우는 등의 수고가 없기 때문에 종래의 나이프 스위치와 fuse를 결합한 것에 대신하여 널리 사용되고 있다. ... Mechanism 기본 작동원리latch, toggle lever, operating spring 을 갖고 있는 매카니즘은 on, off를 의한 수동조작 또는 trip device ... link 의 사점을 지나는 순간 operating spring 이 가동접촉자의 toggle link을 당김으로써 고정접촉 자로 가동접촉자를 빠르게 움직이도록 한다.♠ open(off
    리포트 | 8페이지 | 1,000원 | 등록일 2007.08.21
  • [공학기술]LPC2194구조 및 단색LED제어
    Toggle X0-X3, P1.16-P1.19 : LED Y0-Y3 *//*********************************************************** ... .◈ LPC2194 내부 블록도◈ Pinning 정보◈ 74LS244▶ 3상태 버프로써 동작상태▶ 토클 스위치 X0~X3이 74LS244를 통해서 P1.20~P1,23 74LS244를 ... 통해서 연결되어 스위치의 상태를 검사▶ 포트 1의 P1.16~P1.19가 74LS244를 통해서 LED에 연결되어 P1.16~P1.19에 “0”을 출력하면해당되는 LED 점등됨▶
    리포트 | 7페이지 | 1,000원 | 등록일 2007.05.29
  • 디지털과 아날로그의 소자, 신호, 정의 조사
    마찬가지로 시간 동안에는 0V 혹은 LOW, 시간 동안에는 +5V 혹은 HIGH를 유지한다.이러한 스위치 동작을 토글(toggle)이라고 하며, 그림11. ... (a) 기계적인 스위치에 의해서 발생되는 스위치 바운스(b) 스위치 바운스를 제거하는 디바운스 회로그림 16. ... 스위치 디바운스 회로단순한 기계 스위치를 디지털 회로의 입력으로 사용하려면 문제가 발생한다. 그림15(a)의 푸시버튼 스위치(SW1)가 점점 A에서 닫혔을 때의 파형을 살펴보자.
    리포트 | 11페이지 | 1,500원 | 등록일 2008.11.25
  • [예비]논리함수와 게이트(중앙대)
    논리함수와 게이트1.목적여러 종류의 게이트의 기능을 측정을 통하여 실험적으로 이해한다.2.실험준비물직류전원장치 1대오실로스코프 1대함수발생기 1대브레드보드 1대Toggle Switch
    리포트 | 7페이지 | 1,500원 | 등록일 2008.11.15
  • 디지털공학실험 플립플랩 [예비보고서]
    출력이 분리되어 레이스 문제가 최소로 감소한다◐ 클럭 펄스가 가해지고 있는 동안 입력이 변한다면 플립플롭 회로가 원치않는 결과를 낼수도 있다4.T 플리플롭◐ T 플립플롭은 토글(toggle ... 구성하고 그 각각에 대하여 절차 (1)을 반복하여 표 1(b)에 기록하고 파형을 그림 1(b 에 도시한다.(3) 7400 NAND 게이트를 이용하여 회로 (c)를 구성하고 데이터 스위치로 ... B의 마스터와 슬레이브로 구성되어 있음을 알 수 있다3.실험순서(1) 디지털 실험기판 위에 7400 NAND 게이트를 이용하여 RS flip-flop 회로 (a)를 구성하고 데이터 스위치
    리포트 | 3페이지 | 1,000원 | 등록일 2009.03.27
  • [VHDL] 플리플롭(flip-flop), 카운터(COUNTER), 8진 카운터, 10진 카운터(counter),래치(Latch)
    일어나지 않게 된다◐ CLK는 클럭 펄스를 나타내며 Qn+1은 n+1번째의 클럭 펄스가 들어 왔을 때의 출력을 의미◐ 데이터 전송할 때 유용③ T 플리플롭◐ T 플립플롭은 토글(toggle ... 즉 위의 회로에서 스위치를 Vcc에 연결하면 X-OR출력은 74ls90출력에 대해 반전되어 나오고, 스위치를 GND에 연결하면 X-OR출력은 74LS90과 같은 출력이 나온다.그러므로 ... 스위치에 따라 x-or 출력은 다음과 같이 된다74LS90 SW =H SW = L0 0 0 1 1 1 0 0 00 0 1 1 1 0 0 0 10 1 0 1 0 1 0 1 00 1
    리포트 | 9페이지 | 2,000원 | 등록일 2009.05.04
  • [예비]7-segment / Decoder 회로설계
    Switch4개점퍼선다수3. ... 준비물직류전원장치1대멀티미터 또는 오실로스코프1대Bread board1대저항(330Ω)8개Decoder(74LS47)1개Hex Inverter(74LS04)8개7-segment1개Toggle
    리포트 | 5페이지 | 1,500원 | 등록일 2008.11.17
  • 디지털공학실험 플립플롭 예비보고서
    들어오기 전에 입력 D에 데이터가 들어와 있어야 하며, 이 때 CLR에 앞서서 D가 들어와야 하는 최소한의 시간 간격을 설정시간이라고 한다.(5) T 플립플롭T 플립플롭은 토글(toggle ... 회로도로부터 JK플립플롭이 A와 B의 마스터와 슬레이브로 구성되어 있음을 알 수 있다.D 및 JK 플립플롭에도 RS 플립플롭과 마찬가지로 PR(preset)과 CLR(clear) 스위치를 ... 실험 순서 :(1) 디지털 실험기판 위에 7400 NAND 게이트를 이용하여 RS flip-flop 회로 (a)를 구성하고 데이터 스위치로 S, R 의 논리 상태를 표 1과 같이 변화시키면서
    리포트 | 4페이지 | 1,000원 | 등록일 2009.03.27
  • 쌍안정멀티바이브레이터(Flip-Flop).
    또한 D 플립플롭은 데이터 전송할 때 유용하다.③ T 플리플롭T 플립플롭은 토글(toggle) 플립플롭 또는 트리거(trigger) 플립플롭이라고도 한다. ... 판(각 5개의 스위치 부착) - 2개④ SWG(+5V출력, 주파수 10[㎑]) - 1대또는 펄스발생기(50㎲) - 1 대⑤ IC : 7400, 7402, 7472 - 각 1개3) ... Flip-Flop)검사란1) 실험 목적여러 가지 쌍안정멀티바이브레이터(Flip-Flop)의 특성과 동작에 대한 학습한다.2) 준비 사항① CRO - 1대② 전원(+5V,50mA) - 1대③ 스위치
    리포트 | 7페이지 | 2,500원 | 등록일 2009.07.11
  • 라인트레이서 설계 최종보고서 입니다
    스위치(SMS-102-A1)적외선센서(수광,발광)5파이 고휘도 LED반투명A11_100x200-양면A13_130x170-양면HC12G-P seriesWIW1036(3323 Series ... 방법이 없는 한 주행하기 어렵고 색깔을 수 있다.5.사용 부품 목록ATmega128StepMotor7805(TO-220)2N5551SLA7024MLM324N(DIP)ITS-1107Toggle ... Back2;unsigned char Valid;}SENS_DATA;//스텝 모터를 위한 구조체typedfit unsigned char PPI_MODE@0x8300;#define BZ_TOGGLE
    리포트 | 32페이지 | 3,000원 | 등록일 2009.12.15
  • 테트리스 프로젝트
    또한, 한번 누른 키는 물리적으로 계속 눌려 있는 형태(toggle)가 아니라 다시 제자리로 돌아오는 역할(reset)을 포함하고 있다. ... 키보드 속에는 키를 한 번 눌렀을 때 키가 눌렸음을 인식하는 접점 형태의 스위치가 들어 있다.2. ... 만약 2번 키가 눌리면, 2번 스위치가 닫히고 입력 포트의 2번 핀으로 신호가 도달하게 된다. 키가 n개 일 때 있다.
    리포트 | 34페이지 | 3,800원 | 등록일 2009.04.28
  • 휠체어 관련된 연구
    Non-proportional system (on/off) : 환자가 joystick을 조작할 능력이 없을 때 : switch sensitivity는 조절 가능 spasticity가 ... Lever style : lever가 여러 개의 notch에 setting, holding power 정도를 조절, 급경사에서 유리 / control과 strength 많이 필요 2) Toggle
    리포트 | 53페이지 | 1,000원 | 등록일 2009.12.02
  • [자바][JAVA][자바(JAVA) 문장표현][자바(JAVA) 폼편집][자바 Class선언]자바(JAVA) 개념, 자바(JAVA) 특징, 자바(JAVA) 구성, 자바(JAVA) 장점, 자바(JAVA) 문장표현, 자바(JAVA) 폼편집, 자바(JAVA) Class선언
    ;clickCount++;다음의 버튼을 눌러보세요.2) 체크박스(checkbox)체크박스는 폼 구성요소 중에서 토글스위치(toggle switch)의 기능을 하는 구성요소이다.checked
    리포트 | 15페이지 | 6,500원 | 등록일 2009.07.17
  • [전기전자기초실험]플립플롭과 카운터 설계 결과보고서
    플립플롭은 토글(toggle) 플립플롭 또는 트리거(trigger) 플립플롭이라고도 한다.? 입력이 들어올 때마다 출력의 상태가 바뀌는 성질을 갖고 있다.? ... 스위치1과 2를 모두 누르지 않은 상태에선 LED가 그대로 유지하였다. 스위치1만 누르니깐 어떤 상태였던지 불이 켜졌고, 스위치 2만 누르니깐 어떤 상태였던지 불이 켜졌다. ... 마지막으로 스위치 1과 2를 모두 누르니 반대 상태로 바뀌었다.
    리포트 | 8페이지 | 2,000원 | 등록일 2007.06.15
  • [기계공학 응용실험]TTL Circuit 실험
    이 회로도의 스위치는 ABCD 4개가 있는데, 각각 1과 0을 입력할 수 있다. 따라서 각각의 스위치에 1과 0을 입력 할 수 있으므로 ‘’개의 조합을 형성할 수 있다. ... 참고로 출력 Q 값이 반대로 바뀌는 것을 토글(toggle) 기능이라고 말하기도 한다.6. 고찰기계공학 기초실험에서 TTL에 관하여 실험 했던 적이 있다. ... 그림 7-10에 상승 모서리 트리거 방식 JK 플립플롭을 나타내었다.⑤ T 플립플롭그림 7-11T 플립플롭(Toggle flip-flop)은 그림 7-11에 나타낸 것과 같이 JK
    리포트 | 10페이지 | 1,500원 | 등록일 2007.11.03
  • 사출성형기 사고사례(발표자료)
    기종의 약 96%점유 수직식(Vertical type) 플런저식(Plunger) 플런저 스크류식(Plunger Screw type) 스크류식(Screw type) 직압식 토글식(Toggle ... ○ 일 20: 15분경 장소 : ○ ○ 플라스틱㈜ 작업장 화학제품 제조업 피해정도 : 사망 1명 재해유형 : 협착 공정 : 제품점검작업사고원인사출성형기 가동 중 점검실시 리미트 스위치 ... 설치위치 부적절동종재해 예방대책사출성형기 점검 시 운전정지 후 점검 조작 가능한 위치에 리미트 스위치 설치사출성형기 충전부 감전사고개요 사고원인 동종재해 예방대책사고개요일시 : 1998년
    리포트 | 32페이지 | 1,000원 | 등록일 2007.06.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대