• 통큰쿠폰이벤트-통합
  • 통합검색(247)
  • 리포트(246)
  • 시험자료(1)

"toggle스위치" 검색결과 101-120 / 247건

  • atemga128을 이용한 로봇청소기(졸업작품, 블루투스 통신, 자율주행)
    3개를 이용하여 이동패턴에 대해 제어를 하게 된다.우선 기본 구성은 아래 표와 같다.장비명청소로봇장비 구성기구부포멕스구동부DC 모터회로부ATmega128(16MHz),모터 구동 IC,스위치센서부적외선 ... 스톱워치 정지#define manual 1 // 수동모//이머/카운터 1TCCR1B=0x1B; // 분주비 64, Fast PWM 모드(top = ICR1), OC1A/OC1B 출력(toggle
    리포트 | 38페이지 | 1,000원 | 등록일 2017.07.22 | 수정일 2018.09.19
  • 디지털회로실험 14장. 플립플롭
    - T(toggle), C(clock)의 입력신호를 갖는다. JK플립플롭에서 J와 K를 를 하나로 묶어 T라는 기호를 붙인 플립플롭이다.? ... TTL IC 74LS74의 핀접속도에서 D입력을 1 및 0으로 놓은 상태에서 크록펄스 스위치를 눌렀다가 놓은 뒤의 출력상태를 측정하여 표 14-7에 기입해 넣어라.4. 74LS76은 ... JK 플립플롭에서 D 입력을 1인 때와 0인 때 각각 쿨록펄스(푸시 스위치)를 H -> L로 한 때의 출력상태를 관측하여 표 14-9의 해당란에 기입해 넣어라.실험데이터표 14-5
    리포트 | 10페이지 | 1,000원 | 등록일 2014.04.07
  • 디지털로직실험/최신 디지털 공학 실험 16 J-K플립플롭
    토글(toggle)모드에서는 출력 주파수가 클럭 주파수와 같지 않다는 것을 관찰하여라. ... 4조 DIP 스위치 1개이론 요약D 플립플롭은 동작 상태의 클럭 에지(edge)에서만 출력이 변하는 에지-트리거(edge-triggered) 소자이며, 단지 1을 저장하는 세트(set ... 이런 문제들에 대한 해답으로 J-K 플립플롭을 사용하면 되는데, J-K 플립플롭은 기본적으로 S-R 플립플롭의 무효 출력 상태를 토글(toggle)이라는 새 모드로 대체함으로써 부가적인
    리포트 | 18페이지 | 1,000원 | 등록일 2014.06.29
  • 디지털 논리 TFF 회로 설계
    소개글스위치 레벨로 구현된 비동기 제어 입력 신호 t을 갖는 tff회로 설계 및 검증논리회로아래와 같은 진리표를 갖는 tffT clk Q1 rising 토글(Toggle,현재상태를 ... 반전시킴)0 X 래치(현재 상태를 유지함)목차dlatch_p (switch 레벨 소스)dlatch_n (switch 레벨 소스)Mux_n (switch 레벨소스)Not_c (switch
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.11
  • 디지털실험 예비 #4
    “HELLO”가 toggle 스위치에 의해 rotation 되어야 함.SW[17:0]Display_1Display_2Display_3Display_4Display_50000000010100100110110111
    리포트 | 8페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • VHDL을 이용한 다양한 플립플롭 및 카운터설계, 실습
    보드에 다운로드할 때 스위치는 클럭을 주기에 부적합하여 KEY0을 사용하여 클럭을 주었다.(6) Divide-by-10 Ripple Counter-Schematic-0~9까지 이므로 ... 주로 Bubble을 달아 0을 주었을 경우 동작하도록 만든다.(1-6) Toggled Master-Slave D F.F.Master-Slave D F.F.의 출력 /Q를 입력인 D로 ... F.F.는 기존 SR F.F.등에서 문제가 되던 Set=1, Reset=1 이되던 입력을 새로운 기능으로 만든 F.F.이다 JK F.F.는 입력을 J=1, K=1을 해주었을 경우 Toggle
    리포트 | 22페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    처음의 둘의 스위치는 0속 돌아간다. ... R-S F/F와 다르게 Toggle이 나타난다. 즉 R-S F/F와는 달리 J와 K가 동시에 1인 경우에 플립플롭은 한 클럭 펄스 뒤에 현재 상태의 보수 값으로 바뀌게 된다. ... 있기 때문이다)1) 카운터: 시프트 레지스터의 출력이 역 상태(K에 Q, J에 Q’)로 입력에 연결시켜 counter로 사용할 때 이를 Johnson 또는 Twisted ring, Switched-tail
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • 디지털 시계 회로 분석 ppt
    ) 전류의 세기를 약하게 만드는 소자 . 22pF (2 개 ), 0.1uF (10 개 ), 100uF(1 개 ) 전기를 모으는 소자 각 부품 설명CRYSTAL I C Socket Toggle ... 기판에 납땜 되어 지는 부품으로 IC 의 탈 / 부착 할 때 쓰이게 된다 . 1Hz 와 128Hz 를 번갈아 가며 사용하기 위해 쓰이는 스위치 소자 . ... 또한 신속한 확인 테스트를 위해 128Hz 와 스위치 연결을 한다 .각 파트 별 설명 1Hz 의 주기적인 주파수를 74LS390 IC 를 통해 입력 받아 2 진수로 변환 한다 . 2
    리포트 | 11페이지 | 1,500원 | 등록일 2012.12.01
  • (전실결과)Digital_Circuit(2011)
    진리표와 같이 set, reset, toggle, no change의 동작을 함을 확인하였다.10때 Set01때 Reset11때 Toggle00때 No changeRS Flip-flop ... 일 때 스위치스위치에 DAQ를 연결10 일 때 스위치11 일 때 스위치OR Gate(7432) – HD74H32P 칩으로 회로를 구현하여 Labview로 입력을 주고 각 신호일 ... 숙지한다.실험결과실험 1 : Digital Gate의 회로 구현과 LED를 통한 동작확인.Digital Gate의 Labview에 구현한 모습(AND,OR,EX-OR 동일)00 일 때 스위치01
    리포트 | 4페이지 | 4,000원 | 등록일 2012.03.21 | 수정일 2015.09.04
  • 아주대학교 논리회로실험 실험8 예비보고서
    PulseABCD0000011000201003110040010510106011071110ⅲ) 7-segment 표시기를 갖는 BCD Counter① 7490을 이용한 BCD Counter를 통해 0~9 까지의 값을 얻음② 스위치를 ... 기능을 주로 활용☞ PR, CLR, J, K 핀을 모두 High 상태로 두고 CLK 신호를 주면 Toggle 가능74HC08 (2-Input and Gates)☞ Qudruple ... HHHLHL---HHHH{bar{Q}} nQnTOGGLEHHXXQn{bar{Q}} nNO CHANGE☞ Dual J-K Flip-Flops with Preset and Clear☞ Toggle
    리포트 | 9페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • [결과]실험5. Decoder & Encoder & 실험6. Latch & Flip-Flop
    또한, 두 스위치가 모두 1로 입력되었을 때를 Toggle Flip-Flop이라고도 한다.2. ... D Flip-Flop의 회로는 R-S Flip-Flop의 회로의 동작과 매우 유사한데 S와 C, 즉 R과 S에 해당하는 스위치를 하나로 묶어주어 두 스위치에 같은 값이 들어가지 않도록 ... 스위치1과 스위치2가 2비트의 2진수코드가 되며S _{2}가 상위비트S _{1}이 하위 비트이었으며,D _{4}부터D _{1}까지 각각의 출력에 불이 들어왔을 때 십진수 0, 1,
    리포트 | 7페이지 | 1,500원 | 등록일 2013.09.28
  • 결과보고서(5bit Integer Divider by 3 and the A through J)
    The 5-bit inputs is available from toggle switches. ... of each- KWANGWOON UNIVERSITYNeed goodsIC chip 7400, 7404, 7410 7420, 7447PCB board7-SegmentToggle switchAcrylic
    리포트 | 29페이지 | 3,000원 | 등록일 2012.11.01
  • 비동기식 카운터
    또한 J와 K가 1일 경우 Toggle현상이 나타납니다. ... 그리고 입력이 되는 핀 번호와 데이터스위치를 연결하고 출력이 되는 핀 번호에는 LED indicator이라는 A, B, C, D아래에 연결하면 됩니다.
    리포트 | 9페이지 | 1,500원 | 등록일 2016.11.10
  • VHDL을 이용한 Elevator system 설계
    입 력(1) 각 층을 나타내는 toggle switch 8개(toggle switch를 올리면 해당층으로 이동한다.) ... (toggle switch 17∼10)(2) reset button 1개(reset button을 누르면 1층으로 reset)(tact switch KEY0)(3) clock7. ... 구성요소클럭 : 50MHz를 적당히 분주버튼 : 토글스위치(8개)를 이용해서 건물의 층별로 선택가능위치표시용 LED : 토글스위치 상단의 8개의 LED를 이용해서 현재 엘리베이터의
    리포트 | 26페이지 | 3,000원 | 등록일 2010.10.16
  • 마이크로 과제1
    ▶Push switch 연결 모습PD7에 연결 했다.▶7-segment 연결모습PA0 ~ PA7 까지 연결했다.▶toggle switch 연결 모습PB0 ~ PB2까지 연결했다.? ... 빵판 구성▶LED 2번째 칸이 점등이 고장나서 안됨 (3번째부터 PC0에 연결)▶PA0 ~ PA7 까지 7-segment 연결▶PB0, PB1, PB2 까지 toggle switch ... 연결▶PC0 ~ PC8 까지 LED 연결▶PD7 에 push switch 연결▶push switch를 한번 누를 때 마다 7-segment는 0부터 리셋 되며LED는 불이 한칸씩
    리포트 | 13페이지 | 1,000원 | 등록일 2012.04.01
  • MainProject-StopWatch(VHDL)
    이에 의해 stop watch는 초기화가 된다. push button 2는 start/stop 스위치toggle로 동작되며, 누라다 떼면 stop watch는 동작한다. ... 다시 누라다 떼면 현상태에서 stop watch는 재시작 한다. stop watch의 어떤 상황에서도 reset 스위치에 의해 출력은 ‘0’으로 되면 동작 준비상태가 된다. ... segment로의 변환) stop watch의 시작을 알리는 start 신호, stop 신호, 처음으로 되돌아가는 reset 신호가 필요하다. push button 1은 reset 스위치
    리포트 | 24페이지 | 3,000원 | 등록일 2010.12.14
  • d신호분석과 전기량의 측정
    DV와 SELECT를 동시에누르면 READ OUT기능이 ON 또는 OFF 이므 로 TOGGLE 됩니다.5. ... 원하는 파형의 function switch를 선정3. 주파수 크기 선정4. 오실로스코프를 이용. 직류전압발생기1. 전원 ON2. 커넥터를 연결3. ... VARIALBE : 교정된 위치로부터 소인시간을 연속적으로 변화시키는데 사용한다.PULL X10 MAG스위치 : 이 스위치에 위치하면 소인시간이 10배로 확대되며 이 때의 소인시간은
    리포트 | 12페이지 | 1,000원 | 등록일 2012.10.26 | 수정일 2014.03.29
  • 디지털 텀프 정환 디지털오르간
    세팅(setting)과 동작(operating)으로 두 스테이트만이 존재하기 때문에 ON_OFF toggle 스위치를 이용하여 구분할 수 있다. ... 각 모듈 설명(1) SW100_UP1오르간의 작동가능 시간을 세팅해 줄 수 있는 모듈이다. switch의 입력을 받으면 0분 00초의 형태로 시간이 세팅된다. switch 입력 한 ... 이러한 timing을 잡기 위하여 switch의 인풋을 클럭으로 넣어주고, enable신호에 초의 출력값이 1102(5)이면서 switch가 1이 될 때를 넣어주었다.(2) down_counter오르간의
    리포트 | 13페이지 | 1,000원 | 등록일 2013.01.24
  • 디지털로직실험 16장 J-K 플립플롭
    토글(toggle) 모드에서는 출력 주파수가 클럭 주파수와 같지 않다는 것을 관찰하여라. ... .● 사용 부품74LS76A 2조 J-K 플립플롭LED: 적색 1개, 녹색 1개, 황색 1개저항: 390Ω 3개, 1.0㏀ 4개4조 DIP 스위치 1개● 이론 요약D 플립플롭은 동작 ... 이런 문제들에 대한 해답으로 J-K 플립플롭을 사용하면 되는데, J-K 플립플롭은 기본적으로 S-R 플립플롭의 무효 출력상태를 토글(toggle)이라는 새 모드로 대체함으로써 부가적인
    리포트 | 12페이지 | 3,000원 | 등록일 2013.06.22
  • PWM
    이는 같은 주기를 가지는 PWM 신호가 외부 스위치를 조작 할 때 타이머가 clear 되는 시점이 같으므로 외부 스위치의 스위칭 타이밍이 같아지게 되며 아날로그 회로에 대한 스위칭 ... (set/clear/toggle/do nothing) 이 4가지 동작을 지원한다.- AQ를 거치고 출력 핀은 EPWMxA,B 두 가지 출력이 나온다.4) Dead-band(DB) 서브 ... 될 때 PWM신호가 set이나 clear가 되는 지는 설정에 따라 조절 할 수 있으며, 이 경우는 PWM의 rising time이나 falling time이 다르기 때문에 외부 스위치
    리포트 | 4페이지 | 1,000원 | 등록일 2012.06.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:51 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대