• 통큰쿠폰이벤트-통합
  • 통합검색(247)
  • 리포트(246)
  • 시험자료(1)

"toggle스위치" 검색결과 161-180 / 247건

  • 터치센서를 이용한 터치 초인종
    손가락이 전극에 있을 때 10초 동안만 릴레이가 켜지고 10초 후에는 꺼짐.Toggle 모드 : 손가락이 전극에 터치 되었을 때 릴레이가 켜짐. ... 일반적인 초인종: 스위치 초인종으로 눌렀을 때 스위치의 동작으로 소리가 나는 일반 초인종 터치 초인종: 버튼을 누르지 않고 손만 대면 인체 ... .QT113 IC에 입력이 들어감3.네 개의 모드 중 10초 모드로 출력4.출력 신호가 터치를 떼어도 10초 동안 동작 할 수 있도록 타이머IC로 시간 지연5.10초 동안은 전기 스위치
    리포트 | 8페이지 | 1,500원 | 등록일 2008.12.09
  • 예비7
    A toggle switch SW0 is connected with LED0 and the right 7-segment, push button switch SW5 is connected ... Understanding of the program1) 0) through DG2111 analog switch, SW5 is PORT0.7(AD7).
    리포트 | 6페이지 | 1,500원 | 등록일 2011.06.01
  • 실험2 플립플롭결과보고서
    참고로 출력 Q 값이 반대로 바뀌는 것을 토글(toggle) 기능이라고 말하기도 한다.< 그림 7-11. ... 기입한다.입 력출 력CPJKQH → L00불변H → L010H → L101H → L11토글▲ JK 플립플롭을 그림 19-28과 같이 접속하고 D입력을 1일 때와 0일때 각각 클록펄스(푸시스위치 ... 상승 모서리 트리거 방식 JK 플립플롭>▲ T 플립플롭- T 플립플롭(Toggle flip-flop)은 그림 7-11에 나타낸 것과 같이 JK 플립플롭을 이용하여 만들 수 있으며,
    리포트 | 3페이지 | 1,000원 | 등록일 2009.07.01
  • 논리 gate (Flip-Flop) 프리젠테이션
    D Flip – Flop 실험 방법1)다음 회로를 결선하고, CLK-입력에 구형파를 인가한다. 2)D-switch를 조절하여 인가하였을 때 출력을 조사한다. 3)출력 값이 진리표와 ... 결선시 주의사항은 7474의 7번 핀은 접지 14번 핀은 +5V에 연결 한다. 2)CLK에 구형파 신호를 대치해 입력해주고 D – switch에 low와 high를 번갈아 입력하고 ... preset과 reset을 갖는 JK Flip-Flop 실험 결과J와 K에 모두 high를 인가하였을 toggle 모습4.반가산기(Half Adder, HA) 전가산기(Full Adder
    리포트 | 59페이지 | 5,000원 | 등록일 2009.06.21
  • Labview 프로그래밍 실습
    Switch를 선택하고 스위치를 배치한다.툴 팔레트의 오퍼레이티툴을 선택하여 스케일을 변경한다.(0.0~1.0)블록 다이어그램작동While Loop 내부에 두 개의 터미널을 배치한다.Random ... switch를 선택하여 예제와 같이 배치한다.② 차트의 스케일을 변경하여 본다.③ 블록다이어그램에서 while loop을 이용하여 두 개의 터미널을 포함하도록 직사각형을 만든다.④ ... 상태에서 가장 왼쪽에 있는 “Waveform Chart”를 선택하여 화면의 적당한 위치에 그래프를 배치한다.① 콘트롤 팔렛트에서 Boolean 서브 팔레트에 있는 vertical toggle
    리포트 | 7페이지 | 1,000원 | 등록일 2008.12.01
  • 22.Oscilloscope의 기본원리와 측정
    D 커서, REF커서, TRACKING 커서를 변환DV.DT,I/DT: DV.DT,I/DT의 모드를 전환ON/OFF : DV와 SELECT를 동시에 누르면 READ OUT기능이 TOGGLE ... VARIALBE : 교정된 위치로부터 소인시간을 연속적으로 변화시키는데 사용.PULL X10 MAG스위치 : 이 스위치에 위치하면 소인시간이 10배로 확대26. ... AC/GND/DC 절환스위치 : 입력신호와 수직증폭단의 연결방법 선택할 때 사용.GND 상태 : 해당 채널의 파형에 대한 기준위치(ground)를 나타냄.스위치를 이 상태에 놓으면
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.17
  • Labview 프로그래밍 실습
    Switch를 선택한 후, 적절한 위치에 배치한다. ... 컨트롤에서 “Waveform Chart"를 선택한 후, 프론트 패널의 적당한 위치에 그래프를 배치한다.(2) 다음은 컨트롤 팔레트에서 Boolean 서브 팔레트에 있는 Vertical Toggle ... 확인이 되었으면 스위치를 On 상태로 켜 둔다.(4) 바로 아래 “Analysis" 스위치를 눌러 Off 상태로 변경한 뒤 어떤 변화가 나타나는지 관찰한다.(5) 블록 다이어그램을
    리포트 | 10페이지 | 1,500원 | 등록일 2010.04.06 | 수정일 2015.06.13
  • 7segment 구현
    The variables A, B, C, and D will be available from toggle switches.
    리포트 | 16페이지 | 4,000원 | 등록일 2010.11.06 | 수정일 2022.08.11
  • Lab(5) 플립플롭 래치 IC 실습
    J, K입력 순서대로 0, 0 이면 Hold 1, 1 이면 Toggle 이다. 해당 게이트에서 CLK은 하향모서리 트리거를 사용한다. ... .#5]Flip Flop, 4-bit Latch 실습[1]학습목표a)순차 논리회로의 개념, NAND gate R-S Flip Flop, D-type Flip Flop, J-K Toggle형 ... Logic Lab Unit 아래 스위치를 활용한다)=CLKS RQbar{Q}동작모드↑↑↑↑0 10 01 00 000111100ResetHoldSetHoldc) 앞에서 완성한 표를 이용하여
    리포트 | 16페이지 | 1,500원 | 등록일 2010.06.23
  • 전류계 설계
    주어진 부품으로 실제 설계할 회로도실제로는 이 회로도에서 빨간색으로 표시한 부분에 들어가야 할 가동장치(D'Arsonval movement)는 회로에 꾸미지 않고 Toggle Switch로를 ... 또한 사전설계 회로도에서는 로터리 스위치와 전원부 회로 스위치까지 총 두 개의 스위치를 사용한다고 했지만 우리 실험 분반의 경우 스위치가 모자라 전원부 회로 스위치는 생략하고 토글스위치 ... 한 개로 로터리 스위치를 대신하여 설계하였다.4.3 실제 회로 설계·제작과정?
    리포트 | 19페이지 | 2,000원 | 등록일 2010.07.12
  • 기계공학실험 예비 및 결과 보고서 - Labview 프로그래밍 실습
    다음은 콘트롤 팔레트에서 Boolean 서브 팔레트에 있는 Vertical Toggle Switch를 선택한다. ... 확인이 되었으면 스위치를 On 상태로 켜 둔다.④ 바로 아래 “Analysis” 스위치를 눌러 Off 상태로 변경한 뒤 어떤 변화가 나타나는지 관찰한다.? ... 배치 방법은 위와 같이 선택한 후 마우스 커서를 화면 위로 이동하면 점선이 나타나고 적절한 위치에 커서를 대고 왼쪽 버튼을 누르면 그 자리에 스위치가 배치된다.
    리포트 | 10페이지 | 3,000원 | 등록일 2010.01.20 | 수정일 2024.03.20
  • 실험(1) 플립플롭 예비보고서
    J와 K에 들어가는 입력은 모두 HIGH로, JK 플립플롭은 toggle모드로 작동하게 된다. 하나의 플립플롭은 waveform의 주파수를 2만큼 나눌 수 있다.3. ... 회로도로부터 JK플립플롭이 A와 B의 마스터와 슬레이브로 구성되어 있음을 알 수 있다.그림 JK 플립플롭 회로도입력출력JKCLK00↑No change01↑0110↑1011↑Toggle표 ... JK 플립플롭에 PR(preset)과 CLR(clear) 스위치가 삽입된 플립플롭의 표시기호는 위와 같다.
    리포트 | 10페이지 | 1,000원 | 등록일 2009.04.14
  • 운영체제
    또한 한번 누른 키는 물리적으로 계속 눌려 있는 형태(toggle)가 아니라 다시 제자리로 돌아오는 역할(reset)을 포함하고 있다. ... [구조적 특성]키보드 속에는 키를 한 번 눌렀을 때 키가 눌렸음을 인식하는 점점 형태의 스위치가 들어 있다.키보드 속에는 키를 계속 누르고 있을 때 키의 눌림 상태를 파악하는 역할의 ... 입력 구조가 들어 있다.Caps Lock, Num Lock, Scroll Lock의 키는 키보드 우측 상단의 LED를 켜고 끄는 스위치가 붙어 있다.Caps Lock, Num Lock
    리포트 | 6페이지 | 1,500원 | 등록일 2011.10.27
  • [논리회로실험]실험5결과보고서 래치와 플립플롭
    즉, 이전 값의 보수값을 가지는 toggle 기능을 하게 된다. ... 이 회로 또한 클럭 입력 값을 가지는데 1일 때에만 회로가 동작하며 클럭 입력이 0일 떄에는 스위치로 J,K값을 바꾸어봐도 LED 점등의 변화는 생기지 않음으로써 확인 하였다. ... 위의 사진으로 결과를 분석해보면 T = ‘0’ 일 때에는 LED점등의 변화가 없었으며 T값에 ‘1’을 입력시키자 출력이 보수 값이 되어 1이 출력되어 LED가 점등되었으며, 다시 스위치
    리포트 | 7페이지 | 1,000원 | 등록일 2010.04.12
  • 기억소자(래치와 플립플롭) 회로
    출력과 상태가 sw1의 스위치 논리 상태가 HIGH에서 LOW로 갈 때 어떻게 변하는가 관찰하고 기록한다.※ 주의 : 매번 출력이 반전(toggle)됨이 관찰 되는가? ... ⑥ 데이터 스위치 sw2와 sw3를 HIGH로 SET한다. ... [표 4] JK-FF 진리치표JK-FF 진지치표INPUTOUTPUTJKCLK00TransitionNo Change01"0 → 110"1 → 011"Toggle3.
    리포트 | 9페이지 | 1,000원 | 등록일 2007.10.19
  • [예비]4-bit Adder 회로 설계
    Switch 15개점퍼선 다수3. ... 5개Quad 2 input OR gate (74LS32) 5개Quad 2 input XOR gate (74LS86) 2개4-bit binary adder (74LS83) 1개LED 10개Toggle
    리포트 | 3페이지 | 1,500원 | 등록일 2008.11.16
  • 오실로스코프의 원리
    ON/OFF: DV와 SELECT를 동시에 누르면 READ OUT기능이 ON 또는 OFF 이므로 TOGGLE 됩니다.36. ... PULL X10 MAG스위치 : 이 스위치에 위치하면 소인시간이 10배로 확대되며 이 때의 소인시간은 TIME/DIV지시치의 1/10배가 된다.26. ... DV.DT,I/DT:이 스위치는 DV.DT,I/DT의 모드를 전환시킵니다.?
    리포트 | 11페이지 | 1,000원 | 등록일 2009.10.20
  • Deep Si Etcher
    Toggle Switch가 있어서 수동으로 조작이 가능하다. ... Toggle Switch로도 오류 조정이 안되면 담당 기사에게 즉시 연락한다.1-② : Chamber의 압력을 자동으로 맞춰주기 위해 Gate Valve 간격 자동 조절. ... Computer의 Power Switch는 건들지 말 것.3 : ▷ 왼쪽부터 순서대로 Main Power, 보조 Pump Power, Lock Pump의 세 Switch로 구성되어
    리포트 | 7페이지 | 2,000원 | 등록일 2008.03.29
  • Flip Flop 예비보고서 플립플롭
    들어오기 전에 입력 D에 데이터가 들어와 있어야 하며, 이 때 CLR에 앞서서 D가 들어와야 하는 최소한의 시간 간격을 설정시간이라고 한다.(5) T 플립플롭T 플립플롭은 토글(toggle ... D 및 JK 플립플롭에도 RS 플립플롭과 마찬가지로 PR(preset)과 CLR(clear) 스위치를 삽입시킬 수 있다.4. ... 실험 순서(1) 디지털 실험기판 위에 7400 NAND 게이트를 이용하여 RS flip-flop 회로 (a)를 구성하고 데이터 스위치로 S, R 의 논리 상태를 표 1과 같이 변화시키면서
    리포트 | 3페이지 | 1,000원 | 등록일 2009.03.27
  • VHDL 을 이용한 디지털 시계 설계
    입력 설정1) 입력 설정- Push 스위치 3개 사용 (MODE, SUB_MODE, SET)- Toggle 스위치 1개 사용(RST)???? ... 사용안함- 스위치 입력시 시계에서부터 -> 스톱워치 -> 달력 -> 알람 순서로 기능이 변경되게 됩니다.- 스위치로 각 기능 선택서는 월로, 시계의 분 표시 위치를 달력에서 일로 사용
    리포트 | 34페이지 | 3,000원 | 등록일 2010.06.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:59 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대