• 통큰쿠폰이벤트-통합
  • 통합검색(247)
  • 리포트(246)
  • 시험자료(1)

"toggle스위치" 검색결과 81-100 / 247건

  • 아주대 논회실 논리회로실험 실험6 결과보고서
    Toggle 기능이유이다. ... 적당한 select 스위치를 전압원에 연결함으로써 정보가 어디에 저장되는지 확인할 수 있었는데, 기억장치에 정보를 쓴 후 WRITE SELECT 스위치와 WRITE LEVEL 스위치를 ... 두 스위치를 접지상태로 돌린 후 READ SELECT 스위치를 선택해 기억된 정보를 읽었다. WR0을 +5V에 연결했을 경우 기억소자에 쓰여질 정보의 level이 0이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 디지털 로직 실험 JK 플립플롭 (J-K Flip-flop)
    이런 문제들에 대한 해답으로 J-K 플립플롭을 사용하면 되는데, J-K 플립플롭은 기본적으로 S-R 플립플롭의 무효 출력상태를 토글(toggle)이라는 새 모드로 대체함으로써 부가적인 ... 사용 부품74LS76A 2조 J-K 플립플롭LED: 적색 1개, 녹색 1개, 황색 1개저항: 330 Ω 3개, 1.0 kΩ 4개4조 DIP 스위치 1개3. ... 이는 차고의 자동문 스위치 동작, 즉 차고 문이 열려 있을 때 버튼을 누르면 문은 닫히고, 문이 닫혀 있을 때 버튼을 누르면 문이 열리는 동작과 같다.4.
    리포트 | 8페이지 | 1,000원 | 등록일 2015.07.20 | 수정일 2015.07.29
  • 싼소스[아주대학교 기계공학실험1 A+만점자료] 기공실1 ch8 Labview Programing 실습 결과보고서
    Acquisition 스위치를 껐다 키고 변화를 관찰한다. ... Switch를 선택.⑥ 툴 팔레트의 오퍼레이팅 툴을 선택하여 차트의 스케일을 1.0으로 변경.⑦ 블록 다이어그램으로 변경.⑧ While Loop를 선택한 후 블록 다이어그램 화면으로 ... 나타남.③ 이중에서 Waveform Chart 를 선택.④ 마우스의 왼쪽버튼을 이용하여 적당한 위치에 배치.⑤ 컨트롤 팔레트에서 Boolean 서브 팔레트에 있는 Vertical Toggle
    리포트 | 7페이지 | 1,000원 | 등록일 2015.11.18 | 수정일 2015.11.24
  • 경희대학교 전기전자회로 p-spice homework -3 (중간고사날 제출, A0)
    위의V _{c} (t) 그래프를 왼쪽처럼 확대 한 후 Toggle cursor를 이용하여 전압이 6.32V 가 될 때의 시간을 찾았다. ... 그 결과 약 175,78us일 때 전압이 6.32V가 됨을 알 수 있었다.(2)-Ⅰ 우측 L,R회로에서 SW(switch)를 ON하였을 때의 Transient analysis:i(t ... 이러한 변화는 스위치를 닫은 t=0 부근에서만 일어나며 일정 시간이 지난 후에는 변화가 없으므로 전류의 방해 또한 사라지게 된다.
    리포트 | 22페이지 | 1,500원 | 등록일 2016.06.19
  • (전실결과) Digital Circuit 2(엔코더측정회로)를 통한 모터측정
    첫 번째 스위치는 Reset, 두 번째 스위치는 Stop이다. 스위치를 이용하려면 가장 중요한 부분이 바로 Toggle이다. Toggle기능을 하기 위해 JK-FF을 사용하였다. ... 스위치였다. ... 또한 스위치 부분이 조금 어려웠다. 원리대로 하려니 잘 안되서 결국 다른 조의 도움을 받아야 했다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.04.20
  • 실험 프로젝트-신호등 제작과정 보고서
    #define RS P2_4#define RW P2_5#define E P2_6unsigned char state, design, sec, flag, on_buf, pulse, toggle ... Circuits1) Power2) AT89S523) Crystal (Oscillator)4) Switches5) Traffic Lights LED6) LCD7) Final Circuit3 ... and the Night mode switchBy datasheet: When Vcc=5.5V, Icc=50㎂ in Power-down Mode.R= {5.5V-5V} over {
    리포트 | 17페이지 | 4,000원 | 등록일 2014.08.18
  • 예비 counter
    갖는 BCD CounterQ0 (2 ^{0})Q1 (2 ^{1})Q2 (2 ^{2})Q3 (2 ^{3})- 7490을 이용한 BCD Counter를 통해 0~9 까지의 값을 얻음- 스위치를 ... 기능을 주로 활용- PR, CLR, J, K 핀을 모두 High 상태로 두고 CLK 신호를 주면 Toggle 가능operation condition :2.0 LEQ V _{CC} ... specificationLogicsymbolPinninginformationTruth table74HC76- Dual J-K Flip-Flops with Preset and Clear- Toggle
    리포트 | 5페이지 | 1,500원 | 등록일 2013.12.26
  • 실험8예비 Counter
    사용CLKAA'BB'A'B'AB'A'B실험 3-1) 7-segment 표시기를 갖는 BCD Counter- 7490을 이용한 BCD Counter를 통해 0~9 까지의 값을 얻음- 스위치를 ... 4-EA, Register 330Ω -7-EA1) 74HC76- Dual J-K Flip-Flops with Preset and Clear- Toggle 기능을 주로 활용- PR, ... CLR, J, K 핀을 모두 High 상태로 두고 CLK 신호를 주면 Toggle 가능2) 74HC08- Qudruple 2-Input Positive-AND Gates- 2진 또는
    리포트 | 5페이지 | 2,000원 | 등록일 2014.05.13
  • 비동기 및 동기 입력을 포함한 J-K 플립플롭의 여러 구성에 대한 레포트
    토글(toggle) 모드에서는 출력 주파수가 클럭 주파수와 같지 않다는 것을 관찰하여라. ... 분할 특성 관찰.J-K 플립플롭의 전달 지연 측정.사용 부품74LS76A 2조 J-K 플립플롭LED: 적색1개, 녹색1개, 황색1개저항: 390Ω 3개, 1.0kΩ 4개4조 DIP 스위치 ... 이는 차고의 자동문 스위치 동작, 즉 차고 문이 열려있을 때 버튼을 누르면 문은 닫히고, 문이 닫혀있을 때 버튼을 누르면 문이 열리는 동작과 같다.J-K 플립플롭은 지금까지 설명한
    리포트 | 10페이지 | 3,000원 | 등록일 2015.06.03
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    R-S F/F와 다르게 Toggle이 나타난다. 즉 R-S F/F와는 달리 J와 K가 동시에 1인 경우에 플립플롭은 한 클럭 펄스 뒤에 현재 상태의 보수 값으로 바뀌게 된다. ... J와 K가 모두 1인 때를 제외하고는R-S F/F의 동작과 똑같다.※ 회로에서 JK F/F 의 역할: JK 플립플롭 소자를 사용하여 토글기능으로 스위치를 구현 하였다. ... 즉, 00분 00초 0이 된다.⑤ memory 기능 : 랩 동작을 통하여 기억된 어느 순간의 동작시각을 다시 출력하고 싶을 때 가장 오른쪽에 위치한 Dip switch 0 을 위로
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • 결과보고서(5bit Integer Divider by 3 and the A through J)
    switches.(3) The outputs of a quotient and a remainder display at 7-segment displays separately.(4) ... by 3 to produce a 4-bit binary quotient and 2-bit remainder.(2) The 5-bit inputs is available from toggle
    리포트 | 6페이지 | 3,000원 | 등록일 2012.11.01
  • 아주대 논리회로실험 프로젝트 FPGA를 통한 VHDL 구현 프로젝트 - 비밀번호
    downto 0); --init_counter신호선언 clock 분주기signal sw_clk : std_logic; --sw_clk신호선언, 버튼 감지용 clocksignal sw_toggle ... : std_logic:='0';signal npb : std_logic_vector(16 downto 1):=X"0000"; --npb신호 선언, 스위치의 not값signal pb ... _1st : std_logic_vector(16 downto 1):=X"0000"; --pb_1st신호선언, 스위치 처음 감지된값signal pb_2nd : std_logic_vector
    리포트 | 28페이지 | 3,500원 | 등록일 2016.07.09
  • 플립플롭과 카운터 예비 report
    저항 : 1/4W330Ω(4개), 1㏀(4개)· 스위치 : 4회로 DIP 스위치(건반형)· 커패시터 : 0.1μF? ... 이는 확실하지 않은 입력 신호를 차단하기에 존재하는 플립플롭이다.입력출력DQn+10011(5) T 플립플롭T 플립플롭은 토글(Toggle) 또는 트리거(Trigger) 플립플롭이라 ... S와 R의 입력은 Pull-up 저항과 스위치를 사용하여 구성하라. 논리출력은 LED를 사용하여 판독하라.
    리포트 | 12페이지 | 2,000원 | 등록일 2015.11.01
  • 설계제안서(5bit Integer Divider by 3 and the A through J)
    switches.(3) The outputs of a quotient and a remainder display at 7-segment displays separately.(4) ... by 3 to produce a 4-bit binary quotient and 2-bit remainder.(2) The 5-bit inputs is available from toggle
    리포트 | 6페이지 | 2,000원 | 등록일 2012.11.01
  • Excess 3 to BCD code converter ( Excess-3-to-BCD code converter )
    0 출력 >< Toggle Switch 0011 일때 Ex 3 BCD 0 출력 >< Toggle Switch 0100 일때 Ex 4 BCD 1 출력 >< Toggle Switch ... Switch 0000 일때 Ex 0 BCD 0 출력 >< Toggle Switch 0001 일때 Ex 0 BCD 0 출력 >< Toggle Switch 0010 일때 Ex 0 BCD ... < Toggle Switch 1000 일때 Ex.
    리포트 | 16페이지 | 3,500원 | 등록일 2011.04.22
  • 실험 8. Counter(예비)
    스위치를 이용해 2, 3번 핀이 GND에 연결된 후부터 동작● 7-Segment 표시기를 갖는 BCD Counter (네 번째 실험)① 회로를 구성한다.② 7447을 이용하여 0~9까지의 ... 기능을 주로 활용PR, CLR, J, K 핀을 모두 High 상태로 두고 CLK 신호를 주면 Toggle 가능2진 또는 3진 카운터에서 나오는 값을 확인하는데 사용MR핀이나 MS핀이 ... 맞추어 AND gate를 구성각각의 AND gate는 결과에 맞추어 모두 다르게 구성Flip-Flop의 출력 값은 다른 Flip-Flop을 트리거 할 수 있는 신호원으로 작용한다.Toggle
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • 은행창구 제안보고서(세그먼트)
    PCB design - KWANGWOON UNIVERSITYNeed goods IC chip 7400, 7404, 7408, 7474, 74192 PCB board 7-Segment Toggle ... Problems and Idea When the switch is pressed once, but you can flip clock twice. - Schmitt trigger is ... switch Capacitor Soldering ironTheory 74192 – Decimal counter 2. 7447 – 4 to 7 DecoderTheory 3. 7- segmentDesign
    리포트 | 16페이지 | 2,000원 | 등록일 2012.11.01
  • EM measurement
    다음 사항을 제외하고 e/m 측정 실험과 동일하게 설정한다.- Toggle switch → Electrical deflect- Helmholtz coils : 0 VDC (No current ... switch를 deflection plate 위치로 변경. ... switch를 e/m measure 위치로 변경한다.② Power supply를 다음과 같이 설정한다.- Heater : 6.3 VAC- Electrodes : 240~255 VDC
    리포트 | 5페이지 | 1,000원 | 등록일 2013.01.05
  • 논리예비8 Counter
    사용한다.InputOutputBA001000101010001실험 3-1. 7-segment 표시기를 갖는 BCD Counter- 74HC90을 이용한 BCD Counter를 통해 0~9까지의 값을 얻는다- 스위치를 ... .- Dual J-K Flip-Flop with Preset and Clear- Toggle 기능을 주로 활용- PR, CLR, J, K 핀을 모두 High 상태로 두고 CLK 신호를 ... 주면 Toggle 가능- Quadruple 2-Input Positive-AND Gates- 2진 또는 3진 카운터에서 나오는 값을 확인하는데 사용- MR핀이나 MS핀이 두 개 모두
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
  • 전기전자응용실험 Chapter 4 Digital-to-Analog Converters (DAC) Report
    We applied 8-bit digit code, that uses 8 toggle switches to control on and off.
    리포트 | 6페이지 | 1,500원 | 등록일 2012.08.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:05 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대