• 통큰쿠폰이벤트-통합
  • 통합검색(708)
  • 리포트(683)
  • 시험자료(16)
  • 자기소개서(5)
  • 방송통신대(4)

"4bit-adder" 검색결과 221-240 / 708건

  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 4-예비,결과 보고서
    encoder의 진리표● 진리표를 이용하여 각 출력에 대한 회로를 최소 수의 gate만을 사용하여 구현하시오.2) 2개의 4-bit 2의 보수를 입력으로 받는 4-bit adder를 ... schemaitc설계XOR 게이트를 이용한 overflow 판별기를 가진 4bit Full-Adder의 waveformcarry input이 필요 없는 최하위비트의 덧셈에는 Half ... 따라서 adder의 결과를 그대로 7448과 같은 7-segment driver에 연결해서는 정확한 값이 표시되지않는다. 4-bit 2의 보수 표현법으로 표현된 수를 음수를 나타내는
    리포트 | 6페이지 | 1,000원 | 등록일 2009.01.25
  • 4bit adder, 7segment decoder 디지털회로실험보고서
    이루어 (A3A2A1A0/B3B2B1B0) 이 두수의 합을 4bit adder 설계 후 그 합을 7Segment에 표시한다.adder 마지막단의 Carry는 다이오드에 연결하여 4bit의 ... adder와 7Segment decoder를 이용한 4bit와 4bit 두 수의합을 7Segment에 표현 하는 실험이였다.디지털논리 첫 번째 실험에서 하나하나 연결했던 전선이나 ... 4bit adder, 7segmet decoder실험보고서Subjectː디 지 털 회 로 실 험ProfMajorStudent No.NameDate실험이론8개의 스위치를 4개씩 한 조를
    리포트 | 14페이지 | 1,000원 | 등록일 2012.12.01
  • 시립대 전전설2 [2주차 예비] 레포트
    두 개의 출력을 출력하는 가산, 즉 두 비트를 더하여 합과 올림수를 만들어내는 회로로 2진 비트를 쓰는 컴퓨터 회로이다. ... /%ED%8C%8C%EC%9D%BC:Full-Adder_Propagation_Delay.svg3) http://blog.naver.com/PostView.nhn? ... of Lab 1.AND GATE 프로그래밍1)New Project Wizard에서 family: spatan3, Device : XC3S200, pakage PQ208, Speed -4
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판기 설계
    ]),.cout(cout[0]));adder4bit adder1(.a(adder_go[7:4]), .b(from_register[7:4]), .cin(cout[0]),.s(go_register ... [2]잔액 반환(6) block diogram4bit4bit4bit4bit12bit12bit12bit12bit12bit12bit12bit12bit12bit4'bit Coin selecter ... [7:4]),.cout(cout[1]));adder4bit adder2(.a(adder_go[11:8]), .b(from_register[11:8]), .cin(cout[1]),.s
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습 [예비레포트]
    또한 1-bit Full Adder를 이용하여 4-bits Ripple Carry Full Adder를 설계한다.나. ... 진리표그림 SEQ 그림 \* ARABIC 13 1-bit Full Adder 진리표4-bits Ripple Carry Full Adder그림 SEQ 그림 \* ARABIC 14 4 ... 26 1-bit Full Adder simulation 결과(3) Procedure of the Lab 3.위에서 설계한 1-bit Full Adder를 이용하여 4-bits Ripple
    리포트 | 27페이지 | 1,000원 | 등록일 2017.10.19
  • Quartus 실습 - 7segment, Mux, Adder, Decoder
    Report< Quarus 실습설계 >1. 6-bit Full_Adder (ripple carry adder)2. 6-bit Shift Register3. 7-Segment Decoder4 ... . 2 x 1 Multiplexer5. 4-bit 카운터과 목 : 디지털시스템설계교 수 : 정진균 교수님학 번 : 200711061이 름 :김성현실습과제1. 6bit Full-Adder ... >> Source1. 6bit Full-Adder >> compile1. 6bit Full-Adder >> Simulation2. 6bit-Shift Register >> Source2
    리포트 | 9페이지 | 1,500원 | 등록일 2012.03.28
  • Combinational Logic 실험 #1 (반가산기 , 전가산기)
    ⓐ회로를 꾸미기 전에 Half-Adder의 결과값의 해당 자리 bit (S)과 상위 자리로 넘어가는 carry bit(C) 각각의 Canonical form을 구한다. ... ⓐ회로를 꾸미기 전에 Full-Adder의 결과값의 해당 자리 bit (S)과 상위 자리로 넘어가는 carry bit(C) 각각의 Canonical form을 구한다. ... ⓒPower supply를 이용해 전원은 4V를 걸어주고, 스위치를 작동에 따른 LED 점등을 관찰하여 구현한 회로가 Half-Adder의 진리표에 맞게 작동하는 관찰 하고, 그때의
    리포트 | 4페이지 | 1,000원 | 등록일 2018.06.11
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Pre
    다만, 최상위 비트가 4-bit보다 큰 경우 C_out은 1이 된다. 따라서 4-bit Full Adder가 잘 작동함을 확인할 수 있다. ... , Full-Adder, 4-bit Full-Adder, Subtractor를 설계해본다.실험에 필요한 배경 지식1-bit Full SubtractorSubtractor는 Input ... Bench Code4-bit Full Adder Subtractor Simulation ResultC_in이 0인 경우, 4-bit Full Adder로 작용하며, C_in이 1인
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습1 [결과레포트]
    진리표그림 SEQ 그림 \* ARABIC 13 1-bit Full Adder 진리표4-bits Ripple Carry Full Adder그림 SEQ 그림 \* ARABIC 14 4 ... Procedure of the Lab 3.위에서 설계한 1-bit Full Adder를 이용하여 4-bits Ripple Carry Full Adder를 설계하시오.입력 : A[3: ... 설계하고 이를 응용하여 4-bits Ripple Carry Full Adder를 Schematic 설계 하였다.
    리포트 | 25페이지 | 1,000원 | 등록일 2017.10.19
  • arithmetic circuit design(결과)
    Experiment Result(1) 4-bit Adder / Subtracter① Add / Sub- Result tableINPUTOUTPUTABSELS3S2S1S0OVERFLOW ... At that time, overflow occurs.② time delayThe 4-bit Adder / Subtracter consists of four full adders. ... of 4-bit inputs.
    리포트 | 10페이지 | 1,000원 | 등록일 2011.07.09
  • 전기전자기초실험 Arithmetic Circuit Design 결과레포트 (영어)
    T/F table of 4-bit adder/subtracterTime analyzer of 4-bit adder/subtracter3 + 4 = 7 2 - 3 = -1 -4 + 2 ... -51800~900ns1-1110-21101-3000110The analysis of simulation of 4-bit adder/subtracterInputOutputAiBiAdd ... bits of digit i respectively,② Find the maximum delay route of 4-bit adder/subtracter, then calculate
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.01
  • A+ 디지털 시스템 실험 기본적인Arithmetic Circuit <4주차 예비보고서>
    y)z+xy가 되면 된다.③ 4Bit Adder4bit Adder는 4비트와 4비트의 합을 구하는 방식이다. ... 이때 Full Adder 1개당 1비트씩 계산 하게 하여, 총 4개를 연결하게 되면 쉽게 구현 할 수 있게 된다.④ 4Bit Adder/SubtractorBSelectY00001110111010진수 ... 형태에서의 빼기 형태를 생각해보게 되면, 예를 들어 8-4의 경우는 8+6(4‘)=14에서 십의자리 숫자를 제외한 4가 답이 되게 된다.
    리포트 | 2페이지 | 1,000원 | 등록일 2017.07.05
  • 서울시립대 전자전기컴퓨터설계실험2 제04주 Lab03 Post
    비교 결과, 1-bit Full-Adder가 정상 작동함을 확인할 수 있었다.4-bit Full-Adder ProgrammingTruth Table과의 비교 결과, 4-bit Full-Adder가 ... 나열하여 적어주었다.Pin Assignment of 4-bit Full Adder4-bit Full Adder의 pin은 bus switch 1, 2, 3, 4, 5, 6, 7, ... 정상 작동함을 확인할 수 있었다.ConclusionVerilog HDL을 이용하여 AND Gate, NAND Gate, 1-bit Full-Adder, 4-bit Full Adder
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Post
    bit Full Adder Subtractor 설계4-bit Full Adder Subtractor Simulation ResultInput C_in이 0일 경우 4-bit Full ... B임을 알 수 있다.Discussion4-bit Full Adder SubtractorPre-Lab과의 비교 결과, 4-bit full Adder Subtractor가 정상 작동함을 ... Adder로, Input C_in이 0일 경우 4-bit Full Subtractor로 작동한다.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • verilog 풀애더 멀티플렉서 보고서
    X가 Cin, C가 Cout을 나타낸다.FULL ADDER (4bit)4개의 1bit 풀애더를 직렬로 연결해 Cout 을 상위 비트의 Cin에 넣어주면 임의의 비트 덧셈을 수행할 수 ... d0; b=4'd0; c_in=1'b0; //4비트 10진수 4'd 1비트2진수 1'b#5 a=4'd3; b=4'd4; //4'd3 는 이진수 0011 , 0100#5 a=4'd2; ... 실습 내용 : Verilog Code 및 주석FULL ADDER`timescale 1ns / 1ps //시간단위 : 1ns 해상도 : 1ps//시간단위 - #n일때 n뒤에 붙는 /
    리포트 | 15페이지 | 1,000원 | 등록일 2018.12.27
  • 서울시립대학교 전자전기컴퓨터설계실험2 제03주 Lab02 Post
    Half-Adder Symbol을 사용하여 1-bit Full Adder를 Schematic으로 설계한다.1-bit Full Adder Schematic위의 Half-Adder Symbol을 ... [실험 2] 1-bit Full-Adder ProgrammingTruth Table과의 비교 결과, 1-bit Full-Adder가 정상 작동함을 확인할 수 있었다.ConclusionISE ... .1-bit Full Adder를 설계하기 위한 Half-Adder Symbol을 만들기 위해, Half-Adder Schematic을 그려준다.Half-Adder Symbol위의
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • carry look ahead 방식의 이해를 통해서 4bit adder의 설계
    목표: carry look ahead 방식의 이해를 통해서 4bit adder의 설계1. ... 4bit_adder라는 모듈 설정input [3:0] a,b; // a, b라는 4bit 입력값 설정input c0; // 초기 carry c0라는 입력값 설정output [3:0] ... Propagation: CP): 입력 캐리는 입력 비트의 둘 중 어느 하나가 1일 때 전가산기에 의해 전파 됨CP = PQ그림1-1 캐리 발생과 전파 조건그림1-2. 4bit CLA
    리포트 | 9페이지 | 5,000원 | 등록일 2011.06.08 | 수정일 2015.06.21
  • 가산기 실험보고서
    실험기자재 및 부품4.1 사용기기- 오실로스코프- 디지털 멀티미터- 함수발생기- 전원공급기4.2 사용부품- AND 게이트- OR 게이트- NAND 게이트- 인버터5. ... -가산기가산기(Adder)와 감산기(Subtracter)는 2진수를 더하거나 빼는 디지털 회로이다. ... -반가산기(half adder)의 설계반가산기는 가산에 따른 합S와 자리올림 출력 C0를 출력한다. 반면에 아랫자리에서 올라오는 자리올림 입력 Ci를 받아들일 수 없다.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.27 | 수정일 2020.05.01
  • VLSI 설계 과제_Full adder
    1bit Full Adder + Xor layout(143 X 71 = 10153)8비트 가감산기를 만들기 위해서 FULL_ADDER와 XOR을 하나의 cell안에 넣어주었다. ... 아쉬운 점으로는 poly의 길이가 가장 짧았던, cin의 값만을 변화시켰었더라면 더 작은 delay를 측정할 수도 있었을 것 같다는 점이다.4. 8bit 가감산기 layout (592X161 ... 설계했다면 그 크기를 줄이는 것도 가능했을 것이다.3. 1bit Full_Adder의 파형xor와 연결되지 않은 FULL_Adder의 동작 파형이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2011.05.25
  • 설계과제1 BCD 가산기
    ) Package Body 1 - BCD Adder 함수3) Package Body 2 - BCD to 7 segment Decoder 프로시져4) BCD 가산기 Main(2) 테스트 ... 실험 내용- 실험 1. 8비트 BCD Adder와 BCD to 7 segment Decoder를 각각 모듈화하여 BCD 가산기를 작성하시오.(1) 소스코드1) Package 선언부2 ... 따라서 한자리에 해당하는 4비트를 7 segment 장치를 통해 0에서 9까지의 10진수를 표시할 수 있다. 7 segment 표시장치는 Common-anode type과 Common-cathode
    리포트 | 11페이지 | 5,000원 | 등록일 2018.01.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대