• 통큰쿠폰이벤트-통합
  • 통합검색(708)
  • 리포트(683)
  • 시험자료(16)
  • 자기소개서(5)
  • 방송통신대(4)

"4bit-adder" 검색결과 241-260 / 708건

  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    Package 선언함수 A6_JSW_CHS_Adder는 4비트 크기의 이진수 A_in[3:0]와 B_in[3:0]을 입력으로 받아 덧셈 후 결과값인 5비트 크기의 비트열을 출력으로 ... A6_JSW_CHS_Adder 함수 (가산기능)함수의 경우 주어진 입력이 4비트이므로 입력을(‘0’ & 입력)으로 표현해 출력과 같은 5비트로 변환 후, 덧셈 연산의 결과를 별도로 ... 0001 + 0001 = 0010 (2), 300~350ns 구간에서 “1101”의 2의 보 경우, Y[4:0]는 “-----”가 출력되었음을 확인할 수 있다.(2) 조원2의 고찰4비트
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • [Ayeun]컴퓨터구조 CPU 설계 보고서
    4bit는 연산비트이다. ... 16 비트 4096워드 메모리 (12 bit address)- 9 개의 레지스터- 7 개의 플립 플롭 (플래그)- 두 개의 디코더- 16비트 공통버스- 제어 논리 로직- AC의 입력에 ... 않는 남은 4Bit의 입력과 4Bit의 출력은 GND로 묶어주게 된다.
    리포트 | 22페이지 | 3,000원 | 등록일 2018.12.21
  • 연세대 전기전자 기초실험 chapter. 7 (2017년판) 예렙+결렙
    Second, we implemented 4-bits adder through Verilog circuit design.
    리포트 | 5페이지 | 1,500원 | 등록일 2018.07.17
  • 결과보고서 - 4bit ALU
    w[0]};assign carryout=w[4];endmodule비트 수가 늘어나면서 carryin을 1-bit Full Adder처럼 쉽게 처리할 수 없게 되었다. ... 5-bit inputs, one 5-bit output, two 1-bit selectorswire [4:0] w0, w1;mux2to1 m2to1_0 (x0, x1, s0, w0 ... (x0, x1, s, y);input [4:0] x0, x1; input s; output [4:0] y;// two 5-bit inputs, one 5-bit output, s
    리포트 | 7페이지 | 2,000원 | 등록일 2017.11.08
  • 디지털시스템실험 4주차 결과리포트
    1비트 곱셈기의 input에 삽입하였다.assign W4[0]=W3[1];assign W4[1]=W3[2];assign W4[2]=W3[3];assign W4[3]=w1;Multiflier1bit ... 선언한다.output [3:0]S; // 4bit의 결과값을 output으로 선언한다.wire [3:0]C; // 각각의 full adder 사이의 자리올림수 출력을 wire로 선언한다.wire ... ,S); //하나의 4비트 전가산기를 이용해 구현, input부분이 달라서 D를 wire로 선언하여 전가산기 //input부분에 할당endmodulemodule fulladder4bit
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.02
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 결과보고서
    실험목적① BCD code, Seven-segment display에 대한 이론 및 회로② Seven-segment display의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit ... Adder의 설계 방법을 익힌다.③ Seven-segment display를 Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다3. ... 실험 결과4.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 중앙처리장치
    16비트형 XT로, 4.77MHz/10MHz 1983년에 만들어졌다.④80286 마이크로프로세서 - 16비트형 AT로, 10/16MHz 1984년에 만들어졌다.⑤80386DX 마이크로프로세서 ... 4비트형 이다.②8080 마이크로프로세서 ? 8비트형 이다.③8086/8088 마이크로프로세서 ? ... 32비트형으로 수치보조 프로세서와 캐시시스템을 최초로 내장했으며 4.77mhZ인 8088의 약 50정도의 속도를 지녔다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.26 | 수정일 2019.10.19
  • Lab#04 Combinational Logic Design 1
    Adder4bit Adder는 1bit Full Adder가 4개가 합쳐진 형태로, 각 Full Adder의 Carry값을 다음 Full Adder의 입력값으로 받아 최종적으로 4bit의 ... Half adder7나. Prelab2. Full adder8다. Prelab3. 4bit adder9라. Prelab4. Full subtractor10마. ... -ISim (simulator)-XST (Synthesis tool)나. Methods1) Half Adder Logic design가) 프로젝트를 생성한다.
    리포트 | 24페이지 | 1,500원 | 등록일 2016.09.11
  • 조합 논리 회로의 설계
    여기서의 가산은 2진수 가산이며, 한 비트(bit)당 행해진다.예를 들어, A와 B는 각 1비트이면 그 크기는 0 또는 1이 되고, A+B 또한 1 비트이며, 0 또는 1이다.A = ... 4비트 2진 가산기와 감산기계산 과정은 다음과 같다.Subscript i = 4 3 2 1 liter러한 가산기를 리플 캐리 가산기(ripple carry adder)라고 한다. ... AND게이트와 4비트 2진 가산기 2개를 사용하여 4비트 X 3비트 2진 곱셈기를 설계하라.5-5. 숫자 디스플레이용으로 사용되는 7-SEGMENT는 다음과 같다.
    리포트 | 20페이지 | 5,000원 | 등록일 2017.12.31
  • [mahobife]디지털회로실험 가산기와 감산기 회로 예비보고서입니다.
    (A, B는 같은 자리의 이진수)-> A+(B의 1의 보수)를 계산한다.-> 자리올림이 발생하면 자리올림수를 최하위 비트에 더하고 “양수”이다.-> 자리올림이 발생하지 않으면 결과값에 ... 9 (왜냐하면, 1에 9를 보충하면 10이 된다)::4에 대한 10의 보수는 ? 6 (왜냐하면, 4에 6을 보충하면 10이 된다):7에 대한 10의 보수는 ? ... 반가산기(HA : Half Adder)나. 전가산기(FA : Full Adder)다. 병렬 가산기(riffle carry adder)2.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • 디지털공학실험 07. 직렬덧셈기 결과
    [회로][VHDL Module Code]x를 4비트로 잡고 0101 이라는 초기값을 저장하였다.y를 4비트로 잡고 0110 이라는 초기값을 저장하였다.ci는 0이라고 설정하였다.xout ... .현재 상태가 2일때-> 3으로 shift된다.4.현재 상태가 3일때-> 0으로 shift된다. ... < 순차 회로 직렬 가산기 With Accumulator 결과보고서>실험serial adder는 2개의 시프트 레지스터가 Full Adder로 입력하여 더해진 출력값을 다시 1개의
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습3 [예비레포트]
    1-bit Full Adder를 설계하였다. ... Adder)인 4비트 가산기를 설계하여 보자.그림 SEQ 그림 \* ARABIC 6 4비트 가산기4비트 가산기 설계1. ... 프로그래밍을 통해 프로그램을 최종 확인한다.연산회로 설계 : 4비트 가산기 설계4비트 가산기 : 앞의 전가산기가 1비트의 값을 더한 가산기라면, 멀티 비트 가산기(Multi-Bit
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 인하대학교 디지털시스템설계 project (verilog)24bit Booth multiplier design
    본 프로젝트에서는 3 to 2CSA 혹은 4 to 2CSA 별로 묶어서 이를 tree형태로 표현할 것이다- Carry Lookahead Adder(CLA) : 다수bit의 덧셈연산에서 ... 본 프로젝트에서 사용할 이론은 Booth's encoder(Radix-4), Wallace tree, Carry Save Array(이하 CSA), Carry Lookahead Adder ... 모든 bit마다 부분합을 구하는 동시에 carry값의 계산을 독립적으로 하여 carry의 path가 줄어들게 할 것이다.
    리포트 | 53페이지 | 4,500원 | 등록일 2017.01.06
  • [논리회로실험설계] 한 자리 십진수 가산기
    설계 문제6조 텀 프로젝트 설계설계2: 한 자리 십진수 가산기 (필요부품, AND, OR, NOT, 4-bit adder 2개)조건: 8421 코드 사용, Carry-in 고려, 합이 ... B's 4Bits 을 입력 한다. ... 설계, 7483 Full Adder를 이용하여 4비트 가산기 두 개로 가산 합을 BCD 코드로 나타내는 설계와 김성호 교수님 수업의 텀 프로젝트를 실험에서 직접 구현 해 보는 실험이였다
    리포트 | 14페이지 | 2,000원 | 등록일 2011.07.14
  • 디지털 시스템 실험 Add, Subtractor, Multiplier, Divider 설계 예비보고서
    Multiplier4bit x 3bit Multiplier왼쪽 회로도의 계산식은 위와 같다.위의 Multiplier는 4비트와 3비트의 값을 곱하는 Multiplier이다. ... (K-bit)와 (J-bit)의 곱에서 (K x J)의 AND 게이트와 (J - 1)개의 K-bit Adder가 필요하다. ... 출력 S, C에 대한 K-map이와 같은 방식으로 Full Adder의 출력 S, C를 구할 수 있다.3. 4bit AdderFull Adder 4개를 연결하여 4bit Adder
    리포트 | 12페이지 | 1,000원 | 등록일 2016.04.08
  • 1-bit Full Adder and 8-bit carry select Adder Design
    Verilog의 표현 방법 중에서 아래의 그림과 같이 Gate-Level Modeling의 방법을 이용하여 1 Bit Full Adder를 만들었다.여기서 위의 Verilog File을 ... 우선 4 Bit binary ripple carry adder는 1 Bit Full Adder를 4개를 이어 붙여서 만든 Adder이고, 8 bit carry select adder는 ... 이 1 Bit Full Adder를 맨 처음의 그림과 같이 4개를 생성했다.
    리포트 | 7페이지 | 3,000원 | 등록일 2010.01.29 | 수정일 2023.06.21
  • 아주대학교 논리회로실험 실험3 가산김.감산기 결과보고서
    실험4의 전감산기는 두 입력 변수와 윗자리로부터 빌려온 빌림수 이 세 비트의 빌림수 없는 차와 새로운 빌림수를 구하는 것이다. ... 가산기 & 감산기 -1. ... 고찰이번 실험은 가산기(adder)와 감산기(subtractor)를 직접 구성하여 보고 가산기와 감산기의 기본 구조 및 동작 원리를 이해하는 실험이었다.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • 결과보고서 - Logic Gate
    Generator와 Oscilloscope를 이용하여 Full Adder의 연산을 확인한다.4. ... 브레드 보드에 접속 후, 접지 단자와 5V 출력 단자를 연결한다.3) Function Generator와 Oscilloscope를 이용하여 NOT Gate의 연산을 확인한다.○ 1-bit ... Full Adder 만들기1) Full Adder의 작동 원리를 이해하고, 이를 구현하기 위해 필요한 소자들을 브레드 보드에 적당히 접속하여 도선으로 연결한다.2) Function
    리포트 | 5페이지 | 1,000원 | 등록일 2017.11.08
  • <컴퓨터 구조 및 설계>4장 프로세서(Data path & Mapping Control) 요약정리
    (reduce adders & memory, increase number of registers &muxes)Instruction register(IR) -> 현재 명령어를 유지, ... 기능 필드 값에 따라서 5가지 연산중 하나를 수행명령어 기능 필드와 2비트 제어필드(ALUOp)를 입력으로 갖는 제어 유닛을 만들어서 4비트 ALU 제어 입력을 발생.=> ALUOp값 ... 부호있는 변위 필드를 더하여 메모리 주소를 계산한다. => Use ALU, but sign-extend offset그 외에도 명령어의 16비트 변위 필드 값을 32비트 부호 있는
    리포트 | 23페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.08.13
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습3 [결과레포트]
    Essential Backgr4비트 가산기 : 앞의 전가산기가 1비트의 값을 더한 가산기라면, 멀티 비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자.그림 ... Studies from this Lab이전 실험에서는 4-bits Ripple Carry Full Adder를 설계하여 확인하는 시간을 가졌지만 이번에는 이와 다르게 4-bits Ripple ... SEQ 그림 \* ARABIC 6 4비트 가산기4비트 가산기 설계1.
    리포트 | 31페이지 | 1,000원 | 등록일 2017.10.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:36 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대