• 통큰쿠폰이벤트-통합
  • 통합검색(268)
  • 리포트(227)
  • 시험자료(19)
  • 논문(8)
  • 서식(5)
  • 자기소개서(4)
  • ppt테마(3)
  • 방송통신대(1)
  • 이력서(1)

"Vector Control" 검색결과 221-240 / 268건

  • RFID/USN의 개요 및 구성원리
    직선편파의 두 가지 형태를 나타낸 그림입니다.서로 편파방향이 틀어지면 송수신 성능이 떨어지게 되고, 최악으로 서로 완전히 직교하는 편파를 가지게 되면 신호교류가 전혀 안 된다. vector입장에서 ... Middleware로서 각종 Device들로부터 정확한 데이터 수집이 가장 큰 목적현장 상황에 맞게 각종 장비와 센서를 혼용해야 한다(RFID 도 센서 중 하나)데이터 Read-Only가 아니라 Control
    리포트 | 9페이지 | 1,000원 | 등록일 2007.04.17
  • [데이터통신과 네트워킹 / 공기업 입사시험 대비] 다중 접속 (Multiple Access)
    NAV : Network Allocation Vector13.2 제어 접속 controlled Access? ... (base station is the central controller)? 다른 지국에게 프레임을 전송할 필요가 있는 모든 지국은 먼저 기지국에게 프레임을 전송한다.?
    리포트 | 14페이지 | 1,000원 | 등록일 2007.10.04
  • [바이러스학 실습]바이러스 중화시험 (Virus Neutralization test VN test)
    감염된 태아의 뇌조직, 뇌척수액, 척수, 골격근, 태반 등에서 바이러스를 분리할 수 있다.상재지역은 확실치 않으나 열대지방으로 추정되며 바이러스를 가진 Vector가 바람에 의 하여 ... : 배지 50㎕ + verotoxin 50㎕ + cell 100㎕* Back Titration: 배지로 계단희석한 verotoxin 100㎕ + cell 100㎕* Cell Control ... verotoxin 2000㎕결국 plate에 들어가 있는 성분 및 양은* Sample: 배지로 계단희석한 혈청 50㎕ + verotoxin 50㎕ + cell 100㎕* Verotoxin Control
    리포트 | 10페이지 | 1,000원 | 등록일 2006.09.17
  • [프로그래밍] 작업관리자 기능 구현
    true;for(int i = m_ctrlList.GetItemCount(); i >= 0; i--){string str;str = m_ctrlList.GetItemText(i, 0);vector ... ControlCount=8Control1=IDC_BUTTON2,button,1342242816Control2=IDC_LIST3,SysListView32,1342278153Control3 ... =IDC_STATIC,static,1342177283Control2=IDC_STATIC,static,1342308480Control3=IDC_STATIC,static,1342308352Control4
    리포트 | 2페이지 | 1,000원 | 등록일 2004.07.20
  • [8051] 8051이란?
    (씨리얼 통신)*6-sourece/5-vector interrupt structure with two priority levels6개의 인터럽트 발생원이 있으며 5개의 인터럽트 백터를 ... ***8051****** 8051이란 ***1976년 인텔 사에서 처음으로 마이크로 컨트롤러 MCS-48시리즈를 발표한 이래 계속해서 상위 버전인 MCS-51 시리즈로 성능이 좋은 ... 마이크로 컨트롤러 8031 , 8051 , 8751 , 8951의 4종류의 CPU가 있으면, 현재 가장 널리 사용되고 있는 원 칩 마이크로 컴퓨터 중에 하나이다.MCS-51 마이크로
    리포트 | 12페이지 | 1,000원 | 등록일 2005.05.06
  • [마이크로 프로세서]8051 디지털 시계 제작
    스위치를 통한 CONTROL? 글자 출력을 위한 표시실행 및 결과물 제출정 상5.관련이론? ... TXD와 RXD핀을 사용하여 데이터를 보내고 받는 일을동시에 할 수 있다.6개의 소스/5개의 인터럽트 벡터를 가진 두 가지 우선 순위 레벨의 인터럽트(6-source/5-vector ... 학 과: 정보기술공학부(전자과)학 번: 2003160195이 름: 이상민1.목적8051 Controller의 구조와 동작을 이해하고 더불어 어셈블리어로 하드웨어를제어하는 방법을 통해
    리포트 | 13페이지 | 1,000원 | 등록일 2006.04.10
  • [운영체제] Operating System Conception - Silberschatz, Galvin and Gagne 의 한글 자료 Chapter 2
    )– 해당 인터럽트 서비스 루틴으로 제어가 이전됨Interrupt routine, Interrupt vector, Interrupt-specific handler프로세스 상태의 보관 ... 중이던 일을 중단하고– 기 수행 중인 프로세스 상태를 보관하고(program counter, register)– 인터럽트를 처리해 주는 서비스 루틴의 주소를 찾아(polling, vector ... – common busBootstrap program– 전원을 넣거나 새로 시스템을 시작하고자 할 경우에 필요– CPU register, Device controller의 정보들을
    시험자료 | 17페이지 | 1,500원 | 등록일 2004.05.30
  • 80c196kc 완전 정리
    .-. 20MHz 까지 동작-. 256 byte RAM-. 28 interrupts/16 interrupt vectors-. 1.75 micro-second의 16x16 bits multiplication ... /int RAMmemory controller11 + 10 per transfer(1 minimum)15 + 10 per transfer(1 minimum)(2) 명령의 기계측 2개의 ... 결정.P1.6/: CPU가 DMA controller에게 bus의 사용권을 허가한다는 신호P1.7/: DMA co동작 안할 수 있음으로 CAM에 써넣기를 하기 전에 인터럽트를 마스크해주는
    리포트 | 55페이지 | 1,500원 | 등록일 2004.12.11
  • [컴퓨터 네트워크] IETF
    Proposeet)관련 인터넷 드래프트 리스트- The Zone Routing Protocol(ZRP) for Ad Hoc Networks- Ad Hoc On Demand Distance Vector ... (megaco)Multicast-Address Allocation(malloc)Multiparty Multimedia Session Control (mmusic)Network Address ... iptel)Integrated Services(intserv)Integrated Services over Specific Link Layers(issll)Media Gateway Control
    리포트 | 9페이지 | 1,000원 | 등록일 2003.11.14
  • Logic Synthesis with VHDL Sequential Circuits
    VHDL For Traffic Light FSM Control library ieee; use ieee.std_logic_1164.all; ---- vhdl model for the ... Traffic Light Control, sync reset, encoded states entity tlc_enc is port( signal reset, car, timer, ... GREEN: std_logic_vector(1 downto 0) := ''00''; constant YELLOW: std_logic_vector(1 downto 0) := ''01
    리포트 | 27페이지 | 무료 | 등록일 2000.12.18
  • [재료, 금속] VSM 원리 및 특징
    Squreness ratio, Hysteresis Loss, Ws Minor Hysteresis Loops, lnitial Magnetization Curve, AC/DC Remanence, Vector ... 자장공급방식을 auto로 놓고 자장 조절기(field controller)로 인가자장을 결정한다.6. Vibration on 시키고 Start 한다.7. ... Power Amplifier ⇒ Standby, Control Chassis ⇒ On, Lock-in Amplifier ⇒ On and Power supply ⇒ on 한 후에 30분간
    리포트 | 4페이지 | 2,000원 | 등록일 2002.12.19
  • [컴퓨터]컴퓨터그래픽스2장
    Highly complex, Static picture 에 적합빛의 밝기지속시간 10~60 μ sec시간10%Computer graphics lab- * -..PAGE:7벡터 모니터(Vector ... Image)형태로 프레임버퍼에 저장Pixel --> Scan line --> Raster스캔변환(Scan Conversion, Rasterization)비디오 제어기(Video controller
    리포트 | 26페이지 | 1,000원 | 등록일 2006.03.23
  • [멀티미디어]멀티미디어 디렉터 사용설명서
    Control(조절)메뉴7. Xtras(확장)메뉴8. Window(창보기)메뉴9. Help(도움말)메뉴Ⅲ. 예제로 배우기1. 애니메이션 만들기(공튀기기)2. ... 삽입한다Shockwave Audio...쇽웨이브를 추가하여 삽입한다.Font폰트를 추가하여 삽입한다.Cursor커서를 추가하여 삽입한다.AnimatedGif..애니메이션 gif를 추가하여 삽입한다.Vector
    리포트 | 77페이지 | 1,000원 | 등록일 2006.07.16
  • [항공공학]국내의 무인항공기
    고정익 항공기처럼 고속비행이 가능하도록 두가지 요구조건 모두를 만족하기 위한 비행체 형태로 검토 가능한 대표적인 종류로 복합추력 헬기, 틸트-로터(Tilt-Rotor), 편향 추력(Vectored ... 비행체 3 요소간의 Smart Data Link와 통신기술 분야에서 세계수준에 도달할 수있을 것으로 예상된다.현재의 세계 기술수준보다 향상된 명령, 통제 및 통신 (Command, Control ... Link, 위성통신 등의 통신관제 기술이다.Smart VTOL UAV System의 핵심기술인 복합 Mode 비행체 기술과 비행체/임무 탑재체/지상관제장치 간의 C3(Command, Control
    리포트 | 17페이지 | 1,000원 | 등록일 2005.10.31 | 수정일 2014.06.19
  • [자동제어]자동제어 매트랩을 활용한 관측기 설계
    kB=b*FgCZss=ss(A,B,c,d,Ts);====================================================================pole vector ... ===PARAMETER Value===Z-평면 pole [0.9900 0.3679]K = 62.5247α = 63.5292(7) Design an output feedback controllerControll ... Time 의 최선책을 찾는다.(0.05 이내)착안점=======ershoot(y)ans = 0>> step(CZss1,10)오버슈트는 0이고 정정시간은 0.04로 목표안에 도달하였다.Controlled
    리포트 | 15페이지 | 1,000원 | 등록일 2004.06.15
  • [vhdl] vhdl을 이용한 신호등
    END_TIME, CLK : in std_logic;START : out std_logic;ROAD : buffer std_logic_vector(5 downto 0) );end Control ... 마지막으로 Control에서 나오는 상태코드를 신호등으로 보내는 디코더 부분이다. 우선 제일 먼저 할 일은 Control부를 설계하는 일이다. ... ;architecture Control_a of Control isbeginprocess( CLK, RESETn, SW1,SW2,ROAD )beginif RESETn = '0' then
    리포트 | 14페이지 | 1,000원 | 등록일 2002.11.27
  • [간호학] 집중치료실 학습내용
    (mv) 또는 진폭(mm)· 심전도가 표준 기록지 속도인 25mm/초로 측정될 대 진한 종선은 0.2초(5mm)간격, 연한 종선은 0.04초(mm)간격- 전기축(axis)과 벡터(vector ... Mandatory Ventilation(CMV): 호흡운동이 전혀 없거나 미약한 환자에게 일회 환기량 및 호흡수 등을 기계적으로 통제하는 방식② Assist- Controlled ... 대기압보다 높게 유지하는 것으로 폐의 허탈을 방지함-hypoxia가 산소의 공급에도 교정되지 않는 경우 O2 toxicity의 위험방지를 위해 사용)3) 인공호흡기 modes① Controlled
    리포트 | 13페이지 | 1,000원 | 등록일 2005.09.01
  • [전자실험 매트랩] FSK 변조
    ,Td,length(d)*100+1);% 0부터 데이터 전송시간까지 (12*100) +1 총 1201의 샘플 비트당 100 샘플% linspace = Linearly spaced vector ... 출력해주는 회로△ VCO(Voltage Controlled Oscillator)입력전압을 조절하여 출력주파수 자체를 바꿀 수 있는 발진기회로이다. ... .▲ 구성 : Phase Detector, VCO(Voltage Controlled Oscillator), AMP△ Phase Detector두 입력 파형의 위상의 차이 만큼을 펄스로
    리포트 | 9페이지 | 1,000원 | 등록일 2004.12.18
  • [섬유공학] 염색기계 종류
    또한, 현재 진행중인 전공정이 화면으로 나타남.Driving device / 구동장치벡터(vector) 드라이브 방식을 채택하여 포속(speed)이 정밀하게 제어됨. ... panel board / 콘트롤러사용자가 쉽게 사용토록 설계 되었으며, 입력된 모든 공정이 자동으로 실행됨. ... 된다.간편한 구조기계 구조가 간편하여 수리가 용이하며 조작방법이 쉬워 미숙련자도 작업이 가능함.폭넓은 적용면, 레이온, 나이론, 스판덱스, 아세테이트 등 여러작물을 염색할 수 있음.Control
    리포트 | 7페이지 | 1,000원 | 등록일 2003.06.15
  • [컴퓨터구조]인터럽트와 입출력 시스템
    DMA를 하기위해서는 이를 제어하는 장치, (DMA Controller)가 필요하다. ... 나타내는 플래그, IR(interrup다른 인터럽트 취급 루틴으로 브랜치할 수 있는 정보를 제공하는 방식 다중 회선 인터럽트 체제의 경우에도 적용 인터럽트 벡터(interrupt vector
    리포트 | 45페이지 | 2,000원 | 등록일 2006.02.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대