• 통큰쿠폰이벤트-통합
  • 통합검색(268)
  • 리포트(227)
  • 시험자료(19)
  • 논문(8)
  • 서식(5)
  • 자기소개서(4)
  • ppt테마(3)
  • 방송통신대(1)
  • 이력서(1)

"Vector Control" 검색결과 141-160 / 268건

  • 무기재료 실험 정리
    발생장치(X-ray Generator), 각도 2θ를 측정하는 고니오메터 (Goniometer), X-선 세기를 측정하는 검출기(Detector), 제어하고 연산을 하는 제어연산장치(Control ... 시킴과 동시에 detector 또한 Ewald sphere를 따라 sample 회전속도의 2배속도로, sample 의 회전축과 동일한 축을 중심으로 회전시켜 회절을 관찰• 역 격자 vector또한
    시험자료 | 11페이지 | 2,000원 | 등록일 2014.12.10
  • PSCAD / EMTDC 자료
    Power electronic drives (PWM, vector control drives, etc.)? Steep front studies? ... HVDC, SVC, and other FACTS controllersPSCAD/EMTDC는 전력시스템에 관련한 제조업자, 카운슬러, 그리고 연구와 학술적인 기관들의 기술자들 등 다양한
    리포트 | 22페이지 | 1,000원 | 등록일 2012.07.18
  • BMW central building-zaha hadid
    빌딩은 sketch처럼 보여질 필요가 있는 것이 아니라,오히려 아이디어를 표현하는 ‘Tool’로써 생각되어 져야 한다...PAGE:10Vector Diagram힘을 이용하여 중심적인 ... 한다.Tendency..PAGE:6BMW Central BuildingProgram: offices and technical spaces for car manufacturing plant(Control
    리포트 | 43페이지 | 3,000원 | 등록일 2011.02.26 | 수정일 2017.04.27
  • vhdl 삼각파 발생기 코딩
    Control Logic① NextNumber가 255이면 NextNumber값에서 1을 뺀다.② NextNumber가 0이면 NextNumber값에서 1을 더한다.③ Reset이 ... : std_logic_vector(7 downto 0);signal OP : std_logic;beginControl_logic :process(clock,reset)beginif ... use ieee.std_logic_arith.all;entity tri isport(clock, reset : in std_logic;start_bit : in std_logic_vector
    리포트 | 1,000원 | 등록일 2007.03.08
  • 디지털시스템설계_마이크로프로세서_Datapathassembly&Controllogic의구현
    실험5Datapath assembly &Control logic 의 구현1. ... 먼저 disp를 16비트로 바꾸는 코드는 다음과 같다.entity dispchange_for_pc isport(disp_in : in std_logic_vector(7 downto ... 0); -- 8 bit를 입력받아disp_out : out std_logic_vector( 15 downto 0 ) -- 16 bit로);end dispchange_for_pc;architecture
    리포트 | 10페이지 | 1,000원 | 등록일 2008.02.19
  • 전투기 발전 추세에 대한 의견 제시
    Vehicle) 방식이 구체화- 엔진의 추력방향을 전환시킴으로써 고기동성을 가능케 하는 추력선 전환장치(Thrust Vectoring)가 실용화- 엔진의 성능이 개선되어 전(全) ... Stealth)성, 초음속 순항능력,뛰어난 기동성 및 항공전자 장비(Avionics)의 첨단화- F-16급에서 부터 이미 실용화가 시작된 조종계통의 형상제어(CCV : Configured Control
    리포트 | 2페이지 | 2,000원 | 등록일 2009.04.25
  • WIBRO PPT
    접속 기능을 제공하며 , RAS 당 3 개의 중계기를 접속 할 수 있으며 , 각 중계기에는 3 개의 섹터로 구분하며 , 섹터 당 100 개의 사용자를 수용한다 .ACR(Access Control ... OFDMA 채널대역폭 625kHz 1.25MHz 500kHz 5MHz 최대 주파수 효율 4bps/Hz 2.56bps/Hz 3~7bps/Hz 3.2bps/Hz 오류정정 RS code Vector-LDPC
    리포트 | 32페이지 | 2,000원 | 등록일 2012.02.15
  • 최적제어 및 최적화 기법
    )위에서을 상태변수 벡터(state vector)라 하고,는 시간 매개변수,는 종료시간, 그리고는의 함수이다. ... 최적제어 이론(Optimal Control Theory)성능지수(performance index)라 불리는 다음의 범함수를 최소화하는 제어 벡터를 구하는 기본적인 최적제어 문제(2.1
    리포트 | 13페이지 | 3,000원 | 등록일 2012.07.03
  • 레트로바이러스벡터
    핵심요소유전자유전자 + 전달체Coding RegionPromoterTerminatorCoding RegionKanoriCoding SequenceDelivery VehicleExpression Control ... - Principles of retroviral vector system - Advances in retroviral vector - Related issues - Retroviral ... Retroviral vector유전자치료유전자를 환자에 전달하여 불치·난치병을 치료하는 제품과 이에 관련된 기술 화학요법 = 화학물질이 drug 유전자요법 = 유전자가 drug Gene-based
    리포트 | 95페이지 | 4,000원 | 등록일 2007.12.09
  • [소프트웨어]【A+】Mobility Adhoc Routing Protocol
    Adhoc routing protocol Ad hoc On-Demand Distance Vector(AODV) Routing Protocol 반응적 라우팅 프로토콜 On Demand ... Adhoc routing protocol OLSR 자신의 이웃 정보를 알리기 위해 TC(Topology Control) 메시지를 MPR 을 이용하여 전체 네트워크에 전달함 모든 노드가
    리포트 | 34페이지 | 1,000원 | 등록일 2011.07.15
  • 나로호 발사에 따른 우주 기술의 발전현황과 전망0k
    (주)한화가 참여한 'KSLV-I TVC(Thrust Vector Control, 추력벡터제어) 구동장치 시스템 개발'은 우리나라 최초 우주발사체인 나로호의 상단에 장착되는 구동부로
    리포트 | 26페이지 | 4,500원 | 등록일 2013.01.31
  • 경희대학교 asic 프로젝트( 윷놀이 게임만들기 )
    윷놀이를 만들어 보자.제작 도구 : quartus2 program and nios board프로그램 설명 :data_gen의 파일을 수정하여 윷놀이를 만들어 보자윳놀이 프로그램 콘트롤러 ... (9 downto 0);addr : out std_logic_vector(14 downto 0);data : out std_logic_vector(2 downto 0);wr : out ... ;signal m_motion : std_logic_vector(7 downto 0);signal p1_pos : std_logic_vector( 4 downto 0);signal
    리포트 | 11페이지 | 5,000원 | 등록일 2007.01.18
  • 예비7
    Control Experiment using 80C196KC Microcontroller과목명.전기전자응용실험담 당.제출일.성 명.1. ... , LED0 will turn OFF, LED3 turn ON, and 7-segment will show '29' automatically because of interrupt vector
    리포트 | 6페이지 | 1,500원 | 등록일 2011.06.01
  • Direct Mutagenesis
    Culture the Cloned Vector 3. ... Sequence 연구에 이용Control sequence로 예상되는 sequence의 mutation후 gene expression pattern 연구 Mutation후, expression이 ... mutagenesis 시켜 생성한 mutated protein 과 wild type protein의 구조를 비교 연구 X-ray crystallographic analysis를 이용3) Control
    리포트 | 41페이지 | 3,000원 | 등록일 2007.12.16
  • [프로토콜][HTTP프로토콜][IP프로토콜][DLCP프로토콜][TELNET프로토콜][WAP프로토콜][RIP][IGRP]HTTP프로토콜, IP프로토콜, DLCP프로토콜, TELNET프로토콜, WAP프로토콜, RIP프로토콜, IGRP프로토콜 분석
    .● bit address field stuffing : 앞부분 참조② Multi-Point 링크에서는 부스테이션을 식별하기 위해 주소 필드가 사용되어 진다.③ Control Field ... RIP프로토콜RIP는 Distance Vector 방식을 채용하고 있는 대표적인 프로토콜로서 각각의 라우터가, 인접하고 있는 라우터와 라우팅 정보를 주기적으로 교환하여 라우팅 하도록 ... RIP(Routing Information Protocol)는 앞에서 소개한 distance-vector 알고리즘을 사용하는 비교적 단순한 intradomain 라우팅 알고리즘으로
    리포트 | 10페이지 | 5,000원 | 등록일 2009.04.13
  • 멀티미디어 보안의 DCTP 에대한 조사
    만일 소스 디바이스가 Full Authentication을 할 수 없다는 것을 싱크 디바이스가 알고 있다면, 싱크는 소스로 자신의 KSC(Key Selection Vector)를 보내며 ... 따라서 복제방지 시스템은 CCI(Copy Control Information)의 이용 및 디바이스 간에 암호화된 데이터의 전송을 지원해야 한다. ... 수정된 Blowfish암호화 기술과 DES(Data Encryption Standard)암호화 기술이 선택적 또는 추가적으로 사용 될 수 있다.(3) CCI(Copy Control
    리포트 | 14페이지 | 2,500원 | 등록일 2010.04.29
  • 방선균의 대사공학 사례
    3-1 대사흐름분석 (Metabolic Flux Analysis, MFA)3-2 대사조절분석 (Metabolic Control Analysis, MCA)3-3 대사공학의 적용4. ... inoculated on R4C agar medium, followed by incubation for 4 days at 30°C. 1147/pIJ487 represents the vector ... derived from Streptomyces phage φC31 catalyzes integration via recombination between attP (from phage or vector
    리포트 | 29페이지 | 1,000원 | 등록일 2009.06.05
  • siRNA
    Genetic knock-out models, generated by interrupting a gene through the use of a targeting vector, are ... Expression PlasmidssiRNA/siAb™ Assay KitssiRNA/siAb™ Starter Kits and AccessoriessiRNA SMARTpools® & Control
    리포트 | 3페이지 | 1,000원 | 등록일 2010.06.21
  • intelligent spaces: the application of pervasive ICT
    vague(high accuracy, low speed)- contain analysis method: fuzzy system / neural networks . support vector ... behalf2) University of Massachusetts’s Intelligent Home project: involves designing and implemeperature controllers
    리포트 | 3페이지 | 1,000원 | 등록일 2010.06.15
  • [기초유전학실험]7.Reverse transcription polymerase chain reaction
    Control을 기준으로 환산값 ]sample환산값control140℃ 4h heat shock 당근 잎1.3151 / 0.0996 = 1.3240℃ 12h heat shock 당근 ... 자르면 cDNA의 양 말단에는 원하는 벡터에 붙여 넣을 수 있는 점착성 말단이 생기게 된다. cDNA가 2개의 서로 다른 점착성 말단을 가지는 경우 방향성 있는 클로닝이 가능하여 vector
    리포트 | 8페이지 | 2,000원 | 등록일 2011.06.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대