• 통큰쿠폰이벤트-통합
  • 통합검색(557)
  • 리포트(516)
  • 시험자료(20)
  • 자기소개서(13)
  • 논문(4)
  • 서식(1)
  • 방송통신대(1)
  • 이력서(1)
  • ppt테마(1)

"test bench" 검색결과 221-240 / 557건

  • 통신회로 및 실습 - Full Adder 설계
    Full Adder 구현-Set as Top Module -> Check Design Rules -> Create Schematic Symbol-Test Bench Waveform-실행결과
    리포트 | 4페이지 | 3,000원 | 등록일 2014.07.11
  • 전전컴설계실험2-10주차 결과
    Test Bench 파일에 입력 변수의 조건을 설정해주고, Simulation Runtime조정 뒤에 Test Bench 파일에 대한 시뮬레이션을 시작한다.9. ... Implemlation Runtime조정 뒤에 Test Bench 파일에 대한 시뮬레이션을 시작한다.9. ... Simulation을 통해 실험 예상값을 확인한다..7. 7Segment With Piezo 의 Test Bench 파일을 생성한다.8.
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전자전기컴퓨터설계실험2(전전설2)4주차결과
    bench 생성다음과 같이 test fixture를 이용하여 test bench를 구현한다.나. ... 생성한다.2. text file로 생성Project 파일과 마찬가지로 원하는 게이트를 그려서 구현하지 않고 HDL을 이용하기 때문에 파일 역시 text file로 생성한다.3. test
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • Register Transfer Level (RTL ) 기능을 이용한 Verilog 자판기 구현 (컴퓨터 아키텍쳐 실습)
    하나의 test-bench에 표현할 수 없다고 생각하면 둘 이상의 test-bench를 작성하여도 무방하다.3. ... 표현하는 test bench module을 작성한다.(5) simulation을 이용해 scenario대로 자판기가 동작하는지 확인한다.4. ... (자판기 내에 있는 돈의 종류와 수 저장, 거스름돈 없음 표시)test bench 작성시 최대한 실제로 자판기를 사용하는 예를 고려하여 구현해야 하며, use-case에서 제시한 모든
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.08
  • 전자전기컴퓨터설계실험2(전전설2)3주차결과
    bench 생성다음과 같이 test fixture를 이용하여 test bench를 구현한s1, c1, c2;xor (s1, a, b);and (c1, a, b);xor (sum, ... 생성한다.2. text file로 생성Project 파일과 마찬가지로 원하는 게이트를 그려서 구현하지 않고 HDL을 이용하기 때문에 파일 역시 text file로 생성한다.3. test
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험2(전전설2)6주차결과
    bench 생성다음과 같이 test fixture를 이용하여 test bench를 구현한다.나. ... 생성한다.2. text file로 생성Project 파일과 마찬가지로 원하는 게이트를 그려서 구현하지 않고 HDL을 이용하기 때문에 파일 역시 text file로 생성한다.3. test
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • Verilog 를 이용한 CPU의 Cache (캐쉬) 구현 (컴퓨터 아키텍쳐 실습)
    test bench를 작성한다.작성한 architecture가 test bench를 제대로 실행시킨다고, TA 앞에서 자신의 모듈이 어떻게 동작하는지를 test bench를 통해 ... 있는데, 우리 조는 지난번에 이미 Data hazard 를 Bubble insertion으로 처리하였기 때문에 코드의 재사용성을 고려하여 버블 삽입 방법으로 캐시 미스를 처리하였다.테스트는 ... Test program에는 반드시 cache에서 쫓겨나가 memory에 쓰여지는 부분이 있어야 한다.3.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.08
  • 8주간의 웨이트 트레이닝이 남성호르몬에 미치는 영향
    방법 자료처리 두 집단의 평균과 표준펀차를 산출하였으며 , 8 주 후 웨이트 트레이닝 집단과 비 운동 집단 간의 남성 호르몬의 차이에 대한 각 집단의 유의성 검증은 paired t-test ... 훈련 개시 4 주 후 1RM 을 측정하여 새로운 목표중량을 계산한 뒤 새로운 운동 강도로 훈련을 하였고 , 훈련은 주 3 회 1RM 의 70% 로 Bench-Press, Sit-Up ... 방법 트레이닝 프로그램 웨이트 트레이닝 집단 Bench-Press, Sit-Up, Leg Squat Machine 을 이용하여 각 피험자의 1RM 을 산출하였고 , 본 운동을 실시하기
    리포트 | 18페이지 | 3,000원 | 등록일 2016.06.19
  • [식품미생물] 배지 만들기, 그람염색, 요구르트 생균수 계수 실험 보고서
    (하)고찰1. autoclave 온도 : autoclav염 test 배지로 사용하기도 한다. 따라서 KCTC 2441 배양에 TSA 배지를 사용하였다.4. ... B들고 굳히기 : 적당히 냉각된 액체 상태의 배지를 clean bench에서 페트리 디쉬에 적당히 붓는다. clean bench에서 시행하는 이유는 잡균이 포함되지 않기 때문이며 clean ... bench 내부에는 알콜 램프를 켜두어 낙하균을 방지하고 수시로 집기들을 화염멸균 시킨다.그림5) 배지를 적당히 분할시켜 붓는다.
    리포트 | 21페이지 | 3,000원 | 등록일 2015.06.05
  • 조직변화 혁신행동과 조직학습
    는 보수적인 말저항의 순기능적 역할 저항이라는 테스트를 거친다면 극히 부적절한 변화의 시도를 걸러 냄 저항자들과의 공개토론이나 논쟁을 통해 발전적이 될 수 있음 Ⅱ. ... 학습을 통한 혁신과 발전 – (2) 지식창조조직 만들기조직 지식증가의 네 가지 수준 개인수준 조직수준 조직수준 조직 간 수준 벤치마킹(bench marking) Ⅲ.
    리포트 | 34페이지 | 1,500원 | 등록일 2018.12.03
  • 논리회로실험 2014 Digital clock
    Bench에서는 2000000개를 카운트하면 시뮬레이션 시간이 너무 오래 걸리기 때문에 count_clk이 2000개 ( 1ms )가 되면 1초로 가정하고 진행하였다. [ 그림 4 ... std_logic; -- segment 지정seg_c : out std_logic; -- segment 지정seg_d : out std_logic; -- segment 지정Test
    리포트 | 11페이지 | 1,000원 | 등록일 2014.11.05
  • Digital Design(Setup and Hold time)
    < tb_alu > - Test Bench File=> 산술연산 Part Test Bench=> 논리연산 Part Test Bench▶ SimulationALU 동작에 관한 Verilog
    리포트 | 7페이지 | 2,000원 | 등록일 2013.06.09
  • 연세대학교 디지털시스템설계 (김재석) HW3. Smart TLC의 Verilog 설계 실습
    그러나 그렇게 만들면 State diagram이 매우 복잡해지고, 그에 따라 Verilog Code 및 Test bench의 waveform들 또한 복잡해질 것 같았다.
    리포트 | 11페이지 | 1,000원 | 등록일 2017.12.12
  • 천연추출물 실험법 dpph, cellcluture, 항균, 항진균, 항산화
    Cell Culture 1Contents Definition Object Material Method MIC Test MTT 21. ... Material – 기타 - 형광등을 킨 clean bench - UV 를 킨 clean bench * 모든 실험과정은 외부감염을 피하기 위해 clean bench 에서 이루어져야함 ... Material 배지 : DMEM, EMEM, Ham’s F12 등등 Autoclave : 고압멸균기 Clean bench : UV 가 켜져있는지 항시 확인 .
    리포트 | 48페이지 | 4,500원 | 등록일 2014.12.25
  • 3주차 결과 보고서 Comparator
    b 파형은 4bit Comparator를 Behavioral Modeling의 방식으로 Coding하여 Test Bench를 실행하였을 때 나온 파형이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.03.26
  • 미생물실험 Catalase&Protease효소확인시험 예비리포트
    않는다.Apparatus & ReagentsBacillus subtilis, Enterococcus faecalis, slide glass, 과산화수소, 멸균 정제수, clean bench ... autoclave, incubator 등*Skim milk 배지(casein 배지)-조성Skim milk 10gnutrient agar 0.8gDW 100mlProcedure-Catalase test
    리포트 | 7페이지 | 2,000원 | 등록일 2017.12.01
  • Catalase & Protease 효소 확인 시험 예비레포트
    clean bench 위의 공간은 무균상태로 된다. ... 에 과산화수소를 발라도 거품이 일지 않고, 상처의 혈액이 흑갈색으로 변한다.이것을 무(無)카탈라아제혈증(血症)이라고 하며, 열성(劣性) 유전한다.카탈라아제 실험(Catalase test ... 시약제조시마다 같이 꺼내어 응급 상황에 대비해야 한다.ⓔ Hydrogen peroxide는 불안정하며 빛에 노출되면 쉽게 파괴되며 온도가 높으면 용해 되는 산소량이 증가되어 위양test
    리포트 | 11페이지 | 3,000원 | 등록일 2014.09.21 | 수정일 2017.06.06
  • 전전컴설계실험2-12주차 결과
    Test Bench 파일에 입력 변수의 조건을 설정해주고, Simulation Runtime조정 뒤에 Test Bench 파일에 대한 시뮬레이션을 시작한다.9. ... Text LCD 의 Test Bench 파일을 생성한다.8.
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • [디지털논리회로] 프로젝트 - 고속 동작 덧셈기 설계
    bench code를 작성한다.- Test bench code를 Quartus 또는 Modelsim 등의 시뮬레이터를 사용해 시뮬레이션을 수행한다.- 출력이 두 입력의 합과 같은지 ... .- 32-비트 입력에 대한 test vector를 만든다. ... 이때 overflow에 대한 고려가 있어야 하며 입력, 출력 공히 2‘s complement number 를 사용한다.- 전 단계에서 만든 test vector를 포함하는 test
    리포트 | 19페이지 | 1,000원 | 등록일 2014.05.06
  • [화학생물공정실험] Growth Patterns and Kinetics of Microorganisms
    기밀성 등 보안에 각별히 주의해야 한다.5) Clean bench의학, 제약, 식품, 신소재, 전자산업 등에서 청정작업이 필요할 때 무균상태의 작업공간을 만들어주는 장비로서 청정공기로 ... 실험 기구1) Erle㎚eyer flasks (500㎖)2) Test tubes (15㎖)3) Eppendorf tubesMicrofuge tube로 Eppendorf사에서 주로 만들어서
    리포트 | 19페이지 | 5,600원 | 등록일 2017.10.22 | 수정일 2020.08.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대