• 통큰쿠폰이벤트-통합
  • 통합검색(374)
  • 리포트(368)
  • 시험자료(5)
  • 방송통신대(1)

"half adder" 검색결과 281-300 / 374건

  • 전전컴설계실험2-6주차결과
    docId=849943" 반가산기(half-adder)에서는 고려되지 않았던 하위의 가산 결과로부터 Carry를 처리할 수 있도록 한 회로이다.
    리포트 | 17페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 논리실험 (반가산기 및 전가산기).
    이용하여 10진수 0~9까지 만을 표현할 수 있는 BCD 가산기(8421), 3초과 가산기, 10진 가산기 등이 있다.(1) 반가산기반가산기(HA: Half Adder)는 한 자릿수의 ... 조합논리회로의 설계방법을 공부한다.(2) 설계된 회로의 기능 측정◆ 이론- 산술연산은 전자계산기나 컴퓨터 등 모든 디지털 시스템에서 가장 중요한 정보처리 과정이며, 종류로는 반가산기(Half ... Adder)와 전가산기(Full Adder), 전가산기와 반가산기를 이용하여 nbit의 덧셈을 행하는 병렬 가산기(Parallel Adder), 올림수 예측 가산기, 4 bit를
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.19
  • 4bit adder의 설계
    VLSI CAD 특론학과정보통신공학과학번이름4bit adder의 설계1. half adder 설계half adder의 RTL Schematic2. full adder의 설계full ... adder의 RTL Schematic인터넷으로 찾아본 4bit adder의 실제 그림4bit adder의 설계4bit adder의 RTL Schematictb_adder 파일생성 (
    리포트 | 6페이지 | 1,000원 | 등록일 2007.09.03
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 4장(반감산기, 전가산기, 반감산기, 전감산기) 예비보고서
    이론1) 반가산기 (HA : Half Adder)가장 간단한 2진 가산기는 반가산기로 불리며 2개의 이진수를 묶어서 출력과 캐리를 발생시키게 됩니다. ... B )(3) 전가산기 회로 및 결과전가산기 회로전가산기 회로 결과 그래프(4) 4-Bit Binary Full Adder DataSheet3) 반감산기 (HS : Half Subtract ... 그래서 AB로 표현됩니다.(3) 반가산기 회로 및 출력값반가산기 회로반가산기 회로 결과 그래프2) 전가산기ㅣ (FA : Full Adder)전가산기 (full adder)란 2개의
    리포트 | 8페이지 | 1,000원 | 등록일 2009.05.07
  • A+ 기계공학 실험레포트
    조합 논리회로(combinational logic circuit)- 현재 입력값에 의해 출력값 결정- 정보에 대한 기억능력 없음- 반가산기(half adder)※ 두개의 입력(x,y ... )을 받아서 합(sum)과 자리올림(carry)- 전가산기(full adder)※ 두 개의 입력(x,y)과 밑의 자리로부터 올라오는 자리 올림수(z)를 포함한 3개의 입력을 사용하여
    리포트 | 10페이지 | 1,500원 | 등록일 2010.06.20
  • 반가산기, 전가산기, 2의 보수 XOR, XNOR정의 및 특성
    반가산기반가산기 (half adder)는 이진수의 한자리수를 연산하고, 자리올림수는 자리올림수 출력(carry out)에 따라 출력한다. ... 전가산기전가산기 회로도전가산기 (full adder)는 이진수의 한자리수을 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.04.28
  • 반가산기와 전가산기
    실험 목적 :⑴ 반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부한다.⑵ 설계된 회로의 기능측정③ 실험 이론 :⑴ 반가산기(HA : Half Adder)반가산기는 그림과 ... 최소항으로 표현하면 다음과 같다.S = AB + AB = ABC = AB합과 캐리에 대한 논리식을 구하였으므로 세 번째로 논리회로로 구현하면 아래와 같다.⑵ 전가산기(FA : Full Adder
    리포트 | 6페이지 | 1,000원 | 등록일 2009.10.31
  • 논리회로 실험 가산기와 감산기 만점 리포트 입니다.
    .◎ 반가산기반가산기(half adder)는 이진수의 한자리수를 연산하고, 자리올림수는 자리올림수 출력( carry out)에 의하여 출력한다. ... 》반감산기(HS : half subtracter)는 한 자리인 2진수를 뺄셈하여 차(difference)와 빌림 수(borrow)를 구하는 회로이다. ... 《예비보고서에서 작성한 4bit parallel adder》(3) 2-bit serial adder와 2-bit parallel adder를 구성한 뒤 각각의 입력에 대한 출력을 측정하고
    리포트 | 9페이지 | 5,000원 | 등록일 2009.03.26
  • 조합논리회로
    가산기 와 감산기(1) 반가산기(Half Adder) => 2개의 2진수 A와B를 더하여 그 합의 출력 S(Sum),자리올림 Co (Carry)의 출력을 얻는 논리회로이다.다음 표는 ... 논리회로이다.다음 표는 진가 표를 나타낸다.표에서 합(Sum)에 대한 논리식 S와 출력 자리올림(Carry)에 대한 논리식 Co를 최소 항으로 구하면 다음과 같다.(3) 반감산기(Half ... 그 아래그림은 반가산기의 논리회로이다.표에서 합(Sum)에 대한 논리식 S와 자리올림(Carry)에 대한 논리식 Co를 최소 항으로 구하면 다음과 같다.(2) 전가산기 (Full Adder
    리포트 | 10페이지 | 1,000원 | 등록일 2009.04.30
  • 가산기, 감산기 회로실험 예비보고서
    이것은 반가산기(half adder)와 전가산기(full adder)로 구성되어 있다.A. 반가산기반가산기란 1비트의 데이터 A, B만을 가산할 수 있는 회로이다. ... 반 감산기 (Half Subtracter : HS)n개의비트로 구성된 두 개의 2진수 감산은 피 감수와 감수의 차에 의해서 결정된다.
    리포트 | 9페이지 | 1,000원 | 등록일 2011.09.16
  • 논리회로실험-가산기와 감산기 결과보고서
    실험에 대한 간략한 이론(1) 가산기(adder): 두 개의 2진수를 더해 주는 논리 회로(1.1) 반가산기(half adder)- 2진수를 2개의 수를 합하여 합(Sum)와 자리올림 ... ABC00011110011111ABC00011110011111< 전가산기의 카노맵 >S = A'B'C + A'BC' + AB'C' +ABCC = AB + BC +AC(2) 감산기 (Subtractors)(2.1) 반감산기 (half-subtractors ... 실험목적Logic gates를 이용하여 가산기(adder)와 감산기(subtractor)를 구성하여 동작을 확인해 보고 이를 바탕으로 디지털 시스템의 기본 요소인 가산기와 감산기의
    리포트 | 12페이지 | 1,000원 | 등록일 2007.12.29
  • 실험(1) 연산회로 예비보고서
    이론2.1 반가산기반가산기(half adder)는 이진법으로 표시된 두 개의 수를 이진법의 덧셈 규칙에 따라 더하는 가산기이다.그림 반가산기 회로도그림 반가산기 logic symbol그림 ... 직렬 가산기는 회로가 작다는 장점이 있지만, 직렬로 연속동작을 시키려면 시간이 많이 걸린다는 단점을 동시에 갖고 있다.2.5 반가감기와 전감산기그림 반감산기 회로와 진리표반감산기(half ... ) 또는 ripple carry adder라고 한다.그림 병렬 가산기그림 병렬 가산기의 delay위의 그림은 두 개의 전가산기를 연결시켜놓은 것이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2009.05.25
  • 전기전자기초실험-Basic Logic Circuit Design예비
    Build boolean algebra equation of full/half adder.4번AY X01001110BY X01001110하프풀AXYCin*************10111BXYCin0001111000101110105번이거하프2번결과 ... .-> Half adderA = XYB = X xor Y = X'Y + XY'Full adderA = XY + YC + XCB = X'YC' + XY'C' + X'Y'C + XYC⑤
    리포트 | 6페이지 | 1,000원 | 등록일 2010.10.31
  • quartus를 이용하여 반가산기, 전가산기 시뮬레이션
    ; architecture half of half_adder is beginc ... s ... sample of half_adder is begin p1 : process(x, y) --병렬처리의 형태로 입력값 x, y에 대해 x와 y가 모두
    리포트 | 7페이지 | 1,500원 | 등록일 2006.10.11
  • VHDL 코드를 이용한 M bit 가산기와 비교기
    and half adder (조교들이 demo 시 check.)Design a 4-bit adder using two 2-bit addersdescribe its input output ... 이러한 carry의 발생을 4번의 과정에 걸쳐서 고려해야하고, 2비트로 구성되어지는 4비트 adder는 carry의 발생을 하위 adder의 carry발생이 상위 adder의 입력으로 ... 사용하여 4비트 adder를 구현하고, 또한, 2개의 2비트 comparators를 사용하여, 4비트 comparator를 만드는 과정을 코딩한다.
    리포트 | 17페이지 | 2,000원 | 등록일 2008.09.23
  • 논리 gate (Flip-Flop) 프리젠테이션
    preset과 reset을 갖는 JK Flip-Flop 실험 결과J와 K에 모두 high를 인가하였을 toggle 모습4.반가산기(Half Adder, HA) 전가산기(Full Adder ... Adder, HA)란? ... , FA)두 개의 2진수 A와 B를 더하면, 그 합 S와 자리 올림수 C가 발생하는데 이때 두 출력을 동시에 나타내는 회로를 반가산기라 하며 논리식은 다음과 같다. 반가산기(Half
    리포트 | 59페이지 | 5,000원 | 등록일 2009.06.21
  • [디지털회로실험]가산기
    adder)? ... 발명된 당시에는 진공관에 의해서 구성되었고 현재는 집적 회로로 설계되어서 다양한 기능을 가지는 것이다.입력신호 전압의 덧셈을 출력하는 디지털 회로를 가산 회로라고도 부른다.반가산기(half ... AND, OR, NOT의 세가지 종류의 논리회로만으로 구성할 수 있다.ABCS0*************10전가산기 (full adder)?
    리포트 | 4페이지 | 1,000원 | 등록일 2008.09.20
  • 논리회로실험 가산기 예비보고서
    예비조사 및 실험 내용의 이해1.1 반가산기 (half adder)반가산기는 2진수 한 자리를 나타내는 두 개의 수를 입력하여 합(Sum)과자리올림수(Carry)를 구해주는 덧셈회로이다 ... YC = XY 1.2 전가산기 (full adder)반가산기는 덧셈을 할 때 하위의 자리로부터 올라오는 자리올림수를고려하지 않기 때문에 완전한 덧셈이 어렵다.
    리포트 | 2페이지 | 1,000원 | 등록일 2008.01.14
  • M bit 가산기와 비교기(VHDL코드)
    and half adder (조교들이 demo 시 check.)Design a 4-bit adder using two 2-bit addersdescribe its input output ... 이러한 carry의 발생을 4번의 과정에 걸쳐서 고려해야하고, 2비트로 구성되어지는 4비트 adder는 carry의 발생을 하위 adder의 carry발생이 상위 adder의 입력으로 ... 사용하여 4비트 adder를 구현하고, 또한, 2개의 2비트 comparators를 사용하여, 4비트 comparator를 만드는 과정을 코딩한다.
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • VHDL 코드를 이용한 M bit 가산기와 비교기(spartan 보드 구현결과)
    and half adderDesign a 4-bit adder using two 2-bit addersdownload the data into the kitmake an experiment ... 사용하여 4비트 adder를 구현하고, 또한, 2개의 2비트 comparators를 사용하여, 4비트 comparator를 만드는 과정을 코딩한다. ... 예를 들어 7을 표현하고 싶으면 아래쪽, 왼쪽, 위쪽 버튼을 눌러주면 된다.Adder(가산기)는 바로 이러한 입력 A와 B를 합한 결과를 LED에 표시해 준다.
    리포트 | 7페이지 | 2,000원 | 등록일 2008.09.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:08 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대