• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(382)
  • 리포트(376)
  • 시험자료(4)
  • 자기소개서(1)
  • 방송통신대(1)

"half adder" 검색결과 181-200 / 382건

  • 덧셈회로
    실험 결과 : 1)half adder-half adder의 경우S _{n} =A _{n} OPLUS B _{n} ,````C _{n`} =A _{n} BULLET B _{n}이므로7486 ... 결과 및 timing diagram2) Full adder-full adder는 여러 가지로 꾸며 볼 수 있으나 2개의 half adder로 생각하면 쉽다. ... XOR gate와 7400 NAND gate를 이용하여 그림1과 같이 꾸밀 수 있다.입력 A, B를 바꾸어 가며 결과를 살펴본다.ABCS0*************10half adder
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.27
  • 아주대 논회실 논리회로실험 실험3 예비보고서
    실험 이론1.가산기(adder)이번에 실험할 가산기에는 전가산기(full adder: FA)와 반가산기(half adder: HA)가 있는데, 간단하게 설명하자면 세 비트의 덧셈을 ... 또한 두 개의 반가산기(half adder: HA)를 이용하여 하나의 전가산기(full adder: FA)를 구성할 수 있다.2.전가산기(full adder: FA)전가산기는 세 개의 ... 수행하는 조합 회로를 전가산기(full adder: FA)라 하고, 캐리를 고려하지 않고 두 비트만을 더하는 조합 회로를 반가산기(half adder: HA)라 한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 4bit adder의 설계
    VLSI CAD 특론학과정보통신공학과학번이름4bit adder의 설계1. half adder 설계half adder의 RTL Schematic2. full adder의 설계full ... adder의 RTL Schematic인터넷으로 찾아본 4bit adder의 실제 그림4bit adder의 설계4bit adder의 RTL Schematictb_adder 파일생성 (
    리포트 | 6페이지 | 1,000원 | 등록일 2007.09.03
  • Flowrian Tool을 이용한 4bit counter 설계
    그래서 Half adder 만으로 구현이 가능하다. ... 도식도4개의 half adder와 D-flipflop을 이용하여 설계 하였다.
    리포트 | 3페이지 | 1,500원 | 등록일 2009.09.01
  • 아주대 논리회로 실험 예비3 가산기 감산기 adder subtractor
    반가산기(half adder) 회로는 2진수 덧셈에서 맨 오른쪽 자리를 계산할 때 사용할 수 있도록 만든 회로아래 그림에 나타낸 것과 같이 2개의 비트 A와 B를 더해 합 S와 자리올림 ... 가산기 & 감산기실험목적Logic gate 를 이용해서 가산기(adder) 와 감산기 (substractor)를 구성한다.디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조및
    리포트 | 8페이지 | 1,000원 | 등록일 2016.12.24
  • 서강대학교 디지털논리회로실험 5주차결과
    Half Adde위의 진리표에 따라 Logic equation을 얻은 다음, 간단한 게이트의 조합으로 Half adder를 구성할 수 있다. ③ Full Adder● 1 ... 실험 목적● Arithmetic comparator의 동작원리를 이해한다.● Half-adder 및 full-adder의 동작원리를 이해한다.● ALU (74x181) 소자의 기능을 ... 실험 제목 Arithmetic comparator, Adder and ALU2.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.02
  • 조합 논리 회로의 설계
    )에는 반가산기(half adder)와 전가산기(full adder)가 있다. ... 그림 5-11에 디멀티플렉서의 블럭도와 스위치에 의한 작동을 보인다.디멀티플렉서는 그림 5-12와 같이 인에이블 단자가 있는 복호기를 사용하여 만들 수 있다.5.5 가산기가산기(adder ... x'z + yz5.7 4비트 2진 가산기와 감산기계산 과정은 다음과 같다.Subscript i = 4 3 2 1 liter러한 가산기를 리플 캐리 가산기(ripple carry adder
    리포트 | 20페이지 | 5,000원 | 등록일 2017.12.31
  • 디지털논리회로실험 - 제 5장 기본연산회로
    실험 과정, 회로도 및 타이밍 다이어그램그리고 예비실험 및 조사2.1 반가산기(HA : Half Adder)반가산기는 [그림 A]와 같이 2개의 1Bit 2진수 A, B를 더하여 그의 ... 합(S)과 자리 올림수(C)를 출력하는 논리 연산회로이다.S(Sum)는 두 수(A, B)의 합을 의미하고, C(Carry)는 캐리를 의미한다.Half Adder[그림 A] 반가산기의 ... [그림 A]A B BiD(차)Bo(빌림수)※ 실 험① 반가산기 (HA : Half Adder)[그림 A]와 같이 반가산기 회로를 구성하고 출력 S(Sum)와 C(Carry)의 값을
    리포트 | 12페이지 | 1,500원 | 등록일 2008.11.28
  • 실험3결과 ADD&SUB
    실험 결과1) Half-adderS= bar{A} B+A bar{B}#C=AB2진수 덧셈에서 맨 오른쪽 자리 계산을 위해 사용하는 반가산기 회로이다. 2개의 비트 A와 B를 더해 합 ... Ripple-carry adder, Carry-lookahead adder, Carry save adder등이 있었다. ... 고찰1) Half-adderCarry를 사용하지 않는 가장 단순한 수학적 연산 모델인 반가산기 회로이다.
    리포트 | 5페이지 | 3,000원 | 등록일 2014.05.13
  • 실험3예비 Adder&Subtracter
    요약반가산기입력출력ABSC*************1011) Half Adder(반가산기)S= bar{A} B+A bar{B}#C=AB반가산기 회로를 위와 같이 구성한다. ... 이론1) Half Adder(반가산기)- 반가산기 회로는 2진수 덧셈에서 맨 오른쪽 자리 계산을 위해 사용- 2개의 비트 A와 B를 더해 합 S와 자리올림 Co를 출력하는 조합 회로2 ... ) Full Adder(전가산기)- 전가산기 회로는 2개의 비트 A,B와 자리올림 Ci를 더해 합 S와 Co를 출력하는 조합회로- 반가산기 2개를 사용하여 전가산기 구성3) Half
    리포트 | 4페이지 | 2,000원 | 등록일 2014.05.13
  • 전전컴설계실험2-4주차결과
    -Lab 2Half_Adder1. Xilinx ISE S/W 사용한다.2. Full-Adder를 Synthesize - XST Compile 과정을 거쳐 컴파일한다3. ... )6.참고문헌(References)1.Introduction.(1)Purpose of this LabXilinx ISE Design Suite S/W를 사용하여 기본 GATE와 ,Half_Adder ... (그러한 이유로 "현장 프로그래머블")(3)Hypothesis of this Lab & Basis of the assumptionXilinx에서 Schematic으로 설계한 Half
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 논리회로실험) 가산기 및 감산기 예비보고서
    가산기는 반가산기와 전가산기로 구분할 수 있다.① 반가산기( HA ; half adder )- 반가산기는 2개의 2진수 x와 y의 논리 변수를 XOR 그리고 AND 게이트 두 개를 ... 1 ) 가산기( Adder )란 ?- 가산기는 adder 그대로 더해짐의 원리를 가지고 있는 가산회로이다. ... ( FA : Full adder )- 전가산기는 Full adder 로서 반가산기를 포함한 회로이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • 기본 논리 함수 및 gate와 가산기 결과 report
    .- 위회로는 2비트 Half Adder 반가산기 회로이다. ... 이것을 반가산기(Half Adder)라고 하며, 반가산기는 실험 4와 같이 1개의 XOR과 1개의 AND 게이트로서 실현될 수 있다.4. ... 모든 가능한 입력전압의 조합에 대한 (S와 Cn)을 oscilloscope로 측정하여 입출력 관계를 표로 나타내어라.- 이번 회로는 반가산기 두 개와 OR게이트를 조합하여 Full Adder
    리포트 | 9페이지 | 2,000원 | 등록일 2016.06.26
  • 실험2 제05주 Lab03 Pre Gate Primitive & Behavioral Modeling
    Half adder를 Gate Primitive Modeling으로 설계하였다. >< 미리 설계한 Half adder instance 2개를 이용하여 1-bit Full adder를Gate ... Predata of this Lab1) Lab 1 of 1-bit Full adder in Gate primitive modeling2) Lab 2 of 1-bit Full adder ... Predata of this Labs1) Lab 1 of 1-bit Full adder in Gate primitive modeling< 1-bit Full adder를 설계하기 전에
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 02 논리회로설계실험 결과보고서(전,반가산기)
    (1) 구조적 모델링 결과5) 결과 분석자료흐름모델링을 이용하여 설계한 Half Adder와 주어진 OR gate를 사용하여 전가산기를 설계하였다. ... Half Adder는 두 번 사용하고 OR gate는 한번 사용하여 설계하였다. waveform 결과, 동작적,자료흐름적 모델링과 같은 결과를 나타냄을 볼 수 있다.3. ... 설계결과 Wave Form을 통하여 전가산기가 정상적으로 동작함을 확인 할 수 있다.(2) 구조적 모델링1) 소스코드Half AdderOR gate구조적 모델링4) Wave Form
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 아주대 논회실 실험3 예비보고서.hwp
    실험이론1) Half adder(반가산기)※ S는 합(sum)을 나타내고 C는 올림수(carry)를 나타낸다. 이때 불린 equation에서 ? ... 는 XOR게이트를 뜻한다.3) Half Subtracter(반감산기)※ D는 출력을 나타내고 B는 빌려온 것을 표시한다.이때 D를x OPLUS y로 쓸수도 있다. ... 실험목적Logic gate 를 이용해서 가산기(adder) 와 감산기 (substractor)를 구성한다.디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조및 동작원리를 이해한다
    리포트 | 3페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 정보응용실험 - Component 문 전가산기설계
    std_logic); end half_adder; architecture sample of half_adder is begin s ... library ieee; use ieee.std_logic_1164.all; entity half_adder is port( a, b : in std_logic; c, s : out ... ; library ieee; use ieee.std_logic_1164.all; entity full_adder is port( a, b, c_in : in std_logic; c_out
    리포트 | 2페이지 | 2,000원 | 등록일 2013.06.08
  • 아주대학교 논리회로실험 실험3 예비보고서
    Half Adder(반가산기)1. XOR gate 1개, AND gate 1개를 이용하여 Bread Board에 그림과 같이 회로를 구성한다.2. ... Logic gate를 이용해서 가산기(adder)와 감산기 (substractor)를 구성한다.2. ... `}과C _{out}은 올림으로 사용된다.뺄셈에서는B _{i`n`} ,B _{out} 이용하는 방법과 5 - 4 이면 5 + (-4)로 바꿔서 덧셈과 같은 방식으로계산할 수 있다.Half
    리포트 | 7페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 디지털전자실험 - 연산회로
    디지털회로 실험 결과 보고서실험 9-1 반가산기(Half-Adder)A + BAB입력회로(a)반가산기BAS(Sum : 합)C(Carry : 자리올림)*************101반가산기 ... (최하위 비트의 덧셈에서는 반가산기를 사용하거나, 전가산기의 캐리 입력을 0(접지)으로 하여 사용 할 수 도 있다.)AABA + B실험 9-3 반감산기(Half-Subtractor) ... 모두 1인 경우 캐리가 발생하여 Cout 는 1이고 SUM 은 0 이다.)ABA + BAB + (A + B)Ci(A + B)Ci(A + B) + Ci실험 9-2 전가산기(Full-Adder
    리포트 | 5페이지 | 1,500원 | 등록일 2009.01.30
  • 전전컴실험Ⅱ 06반 제03주 Lab#02 [『HBE-ComboⅡ-SE』, 『Xilinx ISE』] 결과 보고서
    -FULL ADDER와 4 BIT FULL ADDERHALF ADDER를 소자화 시켜서 구성했기 때문에 HALF ADDER 만 잘 만들었으면, 큰 어려움 없이 끝나는 실험 이였다 ... -half adderxyCF0000010110011110HALF ADDER는 XOR게이트에 AND 게이트만추가한 구조로, 위의 사진에서 확인해 보면LED8 번의 점등은 XOR게이트의 ... HALF ADDER와 OR게이트로 구현한FULL ADDER는 오른쪽 진리표와 같이입력 값이 (1, 0, 1)일 때 출력은 되지않고 CARRY 값이 1, 입력값이 (0, 1, 1)일때도
    리포트 | 17페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대