• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(382)
  • 리포트(376)
  • 시험자료(4)
  • 자기소개서(1)
  • 방송통신대(1)

"half adder" 검색결과 161-180 / 382건

  • 가산기 감산기(사전, 결과 리포트)
    가산과 감산을 할 수 있는 회로를 설계하는 방법을 익힌다.이론◎ 반가산기 (HA : half adder)입력 변수인 두 개의 이진수를 더하여 합과 자리 올림 수를 산출하는 회로. ... -반가산기 회로도-이론◎ 전가산기 (FA :Full adder)n bit의 2진수 덧셈을 위해서는 아랫자리에서 올라온 자리올림을 함께 계산하여야 하는데, 즉 두 개의 2진수 A와 B에 ... 이론◎ 반감산기 (HS : half subtracter)한 자리인 2진수를 뺄셈하여 차 (difference)와 빌림수(borrow)를 구하는 회로.한 자리의 2진수를 뺄셈하는 형태를
    리포트 | 9페이지 | 1,500원 | 등록일 2007.11.21
  • 논리회로설계실험_다양한 가산기
    1.반가산기 (Half Adder) : Behavioral Modeling1)HDL코드library ieee;use ieee.std_logic_1164.all;entity HA isport
    리포트 | 13페이지 | 1,000원 | 등록일 2010.04.10
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 예비보고서
    full_adder(C,S,X,Y,Z);output C, S;input X, Y, Z;wire C0, C1;wire S0;// First half adder instancehalf_adder ... h0(C0, S0, X, Y);// Second half adder instancehalf_adder h1(C1, S, S0, Z);// Carryor o0(C, C1, C0);endmo ... ****************/module half_adder(C,S,X,Y);output C, S;input X, Y;xor x0(S, X, Y);and a0(C, X, Y);endmodulemodule
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • [A+ 예비보고서] 아주대 논리회로실험 실험3 '가산기& 감산기'
    또한 두 개의 반가산기(half adder: HA)를 이용하여 하나의 전가산기(full adder: FA)를 구성할 수 있다. ... 실험이론●가산기(adder)-이번에 실험할 가산기에는 전가산기(full adder: FA)와 반가산기(half adder: HA)가 있는데, 간단하게 설명하자면 세 비트의 덧셈을 수행하는 ... 조합 회로를 전가산기(full adder: FA)라 하고, 캐리를 고려하지 않고 두 비트만을 더하는 조합 회로를 반가산기(half adder: HA)라 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • 디지털시스템실험 12주차 결과리포트
    ****************/module half_adder(C,S,X,Y);output C, S;input X, Y;xor x0(S, X, Y);and a0(C, X, Y);endmodulemodule ... full_adder(C,S,X,Y,Z);output C, S;input X, Y, Z;wire C0, C1;wire S0;1:0]LAd,RAd;wire [3:0] Reg0,Reg1 ... Adata, Bdata;input S1,S0,Cin;output [3:0] Gout;output Cout;wire [3:0]Y;InputLogic in(Bdata,S1,S0,Y);adder
    리포트 | 10페이지 | 2,000원 | 등록일 2018.01.03
  • 논리 회로 VHDL 프로젝트 (가산기, 반가산기, 전가산기 소스코드, 사진, 파형, 캡쳐 모두 게재)
    of half_adder isbeginprocess (a, b)beginsum y,sum => temp_sum, carry => temp_carry_1);u1 : half_adder ... 이와 같이 세 비트의 덧셈을 수행하는 조합 회로를 전가산기(full adder: FA)라 하고, 캐리를 고려하지 않고 두 비트만을 더하는 조합 회로를 반가산기(half adder: ... HA)라 한다. 2개의 반가산기를 사용하여 전가산기를 제작할 수 있다.반가산기(Half adder)반가산기는 2개의 2진 입력과 2개의 2진 출력으로 구성한다.
    리포트 | 9페이지 | 5,000원 | 등록일 2008.11.18
  • 반가산기,전가산기,반감산기,전감산기
    반가산기(HA: Half Adder)1비트 데이터 2개를 합하는 것이 반가산기이다. 1비트 데이터 2개를 덧셈하는 방법은 다음과 같다.ABSC*************101표 반가산기 ... 그림 전가산기의 블록도전가산기(FA: Full Adder)제구실을 다하는 가산기일 조건은 반가산기와는 달리, 한 자리 윗자리로 자리올림 신호를 주고, 더불어 한자리 아랫자리로부터의 ... 반감산기(HS: Half Subtract)1비트 데이터 2개를 뺄셈하는 논리회로가 반감산기 이다. 1비트 데이터 2개의 뺄셈은 다음과 같다.ABDBo0000011110101100표
    리포트 | 5페이지 | 1,500원 | 등록일 2010.06.18
  • 44장 예비레포트 2진 가산과 전가산기
    이러한 계산을 하기위한 합(S)과 자리올림 수(C)의 논리식은,[그림]반 가산기(half adder)ABCS0*************10[표]반 가산기(half adder) 진리표( ... [그림] 반 가산기(half adder) 회로도ABCS0000010110011110[표] 반 가산기(half adder) 진리표2) 예제 실험회로 2두개의 반가산기와 한개의 OR gate로 ... 반가산기와 전가산기는 다른 산술회로를 설계하는 데 기본이 되는 산술블록이다.(1) 반 가산기(half adder)반 가산기는 두 2진수의 합을 생성하는 산술회로이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2009.11.29
  • 반가산기 및 전가산기 예비보고서
    1.1.1 반가산기(half-adder ; H.A)1.1.2 전가산기(full-adder ; F.A)1.2 가산기의 특징 (논리기호)1.2.1 반가산기(half-adder ; H.A ... 이것을 반가산기 (Half-Adder)라 한다. ... )1.4 구동방식 (VHDL)1.4.1 반가산기(half-adder ; H.A)1.4.2 전가산기(full-adder ; F.A)1.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.03
  • [대충] 예비 VHDL을 이용한 기본 논리 게이트 및 가산기의 구현
    of half_adder isbeginS ... 반가산기 실습①동작적 모델링 기법library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity half_adder ... isport(A:in std_logic;B:in std_logic;S:out std_logic;C:out std_logic);end half_adder;architecture action
    리포트 | 4페이지 | 1,000원 | 등록일 2015.01.17
  • 디지털시스템실험 4주차 결과리포트
    개로 구성되어있으므로,halfadder 모듈을 두 번 불러와 연산을 진행한다.assign c = w2 | w3;endmodulemodule halfadder(x,y,c,s); // half ... 되고, S0가 1일 때는 감산이 되므로,입력에 넣은 값의 보수가 adder에 입력이 된다.assign C[0]=S0;FullAdder U1(A[0],w[0],C[0],C[1],S[ ... C4; // 자리올림수 출력을 output으로 선언한다.output [3:0]S; // 4bit의 결과값을 output으로 선언한다.wire [3:0]C; // 각각의 full adder
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.02
  • 디지털실험 - 실험 3. 2비트 전가산기 예비
    Adder)2진 덧셈을 살펴보면 2-입력(A,B)의 논리회로는 exclusive-OR 게이트와 같은 출력을 나타내고 있다. ... 과000011101111 0 (Carry=1)※ Carry = 올림수이 법칙에서 2개의 2진 digit 가산은 합 digit와 자리올림 digit의 2개의 digit로 결과가 얻어진다.2) 반가산기 (Half ... 반가산기라 하며 논리식은 다음과 같다.S= bar A Cdot B + A Cdot bar B = A BIGOPLUS BC=ABABSC*************1013) 전가산기 (Full Adder
    리포트 | 9페이지 | 1,500원 | 등록일 2017.04.02
  • [컴퓨터 공학 실험] 논리 및 연산회로{가산기(Adder),감산기(Subtractor),부호 변환기(Code converter)}
    논리 및 연산회로 Xxx( 이름 ) xxx목 차 가산기 (Adder) 반가산기 (Half Adder) 전가산기 (Full Adder) 병렬 가산기 (Parallel Adder) 와 ... Adder Sum가 -1) 반가산기 (Half Adder) 정의 입력 변수인 두 개의 이진수를 더하여 합과 자리 올림 수를 산출하는 회로 .가 -2) 전가산기 (Full Adder) ... 직렬 가산기 (Serial Adder) 감산기 (Subtractor) 반감산기 (Half Subtractor) 전감산기 (Full Subtractor) 부호 변환기 (Code converter
    리포트 | 24페이지 | 1,000원 | 등록일 2009.03.25
  • 반가산기와전가산기 - 예비
    있다.AB덧셈결과000011101111 (Carry=1)이 법칙에서 2개의 2진 digit 가산은 합 digit와 자리올림 digit의 2개의 digit로 결과가 얻어진다.(2) 반가산기(Half ... Adder)- 반가산기는 2개의 2진수 A, B 논리 변수를 더하여 합과 캐리를 산출하기 위한 조합 논리회로이며 반가산기의 논리식과 진리표는 다음과 같다.S = A B + A B ... = A BABS(sum)C(carry)*************101C = A B(3) 전가산기(Full Adder)- A, B 두 입력 외에 앞단으로부터 1개의 자리올림수도 동시에
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.18
  • 전전컴설계실험2-4주차예비
    -Lab 2Half_Adder1. ... Half-Adder를 Synthesize - XST Compile 과정을 거쳐 컴파일한다3. ... 이때 전에 만든 Half_Adder Simbol을 저장했던 것을 불러와 사용한다.2. Full-Adder를 Synthesize - XST Compile 과정을 거쳐 컴파일한다3.
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 반가산기 및 전가산기 결과 보고서
    1.1.1 반가산기(half-adder ; H.A)1.1.2 전가산기(full-adder ; F.A)1.2 가산기의 특징 (논리기호)1.2.1 반가산기(half-adder ; H.A ... 이것을 반가산기 (Half-Adder)라 한다. ... )1.4 구동방식 (VHDL)1.4.1 반가산기(half-adder ; H.A)1.4.2 전가산기(full-adder ; F.A)1.
    리포트 | 15페이지 | 1,500원 | 등록일 2009.05.03
  • [컴퓨터공학기초설계및실험2 보고서] Ripple-Carry Adder (RCA) design
    (Full Adder, Half Adder)의 구성과 동작 특성를 알고, 가산기의 연산장치를 이해한다. ... ci’(a’b+ab’) = ci XOR a’b+ab’ = ci XOR a XOR bci ab000111100001010111Carry out co = ab + bci + aci나는 half ... b0001110fI/O Description구분이름bit설명InputiHex4 bitInput dataOutput regoSEG77 bitoutput설계 검증 및 실험 결과시뮬레이션 결과* Half
    리포트 | 20페이지 | 2,000원 | 등록일 2015.04.12 | 수정일 2015.04.24
  • 디지털 시스템 실험 Add, Subtractor, Multiplier, Divider 설계 결과보고서
    이전에 만들어두었던 Half Adder를 불러온다. ... 이 때, 4bit Adder를 설계하므로 입력과 출력 모두를 4bit의 배열로 설정한다.여기서, 4bit Adder의 실제 설계도를 보면 다음과 같다.이를 통해, 각각의 FA를 C의 ... 도선으로 연결시키면 Multi-bit Adder로 확장시킬 수 있다는 것을 알 수 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2016.04.08
  • verilog coding을 이용한 Adder&Subtractor
    *********************************************************Half Adder********************************** ... /Subtractor실험목표1. 4Bit Adder를 설계한다.2. 8Bit Adder/Subtractor를 설계한다.실험준비물Altera Quartus II 4.0 software ... , HBE-COMBO 보드배경지식1. 4Bit Adder2. 8Bit Adder/Subtractora. 8Bit Adderb. 8Bit SubtractorHaving an n-bit
    리포트 | 2페이지 | 1,000원 | 등록일 2009.05.07
  • 연산회로 예비보고서
    이론2.1 반가산기반가산기(half adder)는 이진법으로 표시된 두 개의 수를 더하는 가산기이다. ... 직렬 가산기는 회로가 작다는 장점이 있지만, 직렬로 연속동작을 시키려면 시간이 많이 걸린다는 단점을 동시에 갖고 있다.2.5 반감산기와 전감산기반감산기(half substracter ... 따라서 반가산기의 회로는 그림 1⒜와 같게 된다.2.2 전가산기전가산기(full adder)는A`,``B 두 개의 수와 전단의 자리올림C_i(carry in)을 더해주는 가산기이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.12.20
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:34 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대