• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(382)
  • 리포트(376)
  • 시험자료(4)
  • 자기소개서(1)
  • 방송통신대(1)

"half adder" 검색결과 101-120 / 382건

  • 서울시립대학교 전전설2 1주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    adder HYPERLINK \l "주석6"[6](6) 전가산기반가산기의 형태에서 입력이 한 개 더 추가된 형태이다.이 모델은 간단하게 3개의 반가산기, 전가산기, … , etc이러한 ... 반가산기AND와 XOR을 활용해 1비트 가산이 가능한 형태이다.하지만, 이 반가산기 모델은 올림(전가산기의 Cin 부분)의 연산이 불가능해서 대수의 연산이 불가능하다. 1-bit half
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 아주대 논리회로실험 실험3 가산기 & 감산기 예비보고서
    실험 이론1) 반가산기ABSC _{out}*************101- 반가산기(Half-adder)는 간단한 1비트 연산을 하는 가산기로써 1비트 입력 A, B를 더하여 입력 비트에
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 회로실험I 예비보고서 - 반가산기와 전가산기
    기초- 2개의 2진 digit 가산은 합 digit와 자리올림 digit의 2개의 digit로 결과가 얻어짐AB덧 셈 결 과000011101111 ( Carry = 1 )반가산기(Half ... Adder)- Exclusive-OR 게이트는 1/4가산기라고도 불림- 두 개의 2진수 A와 B를 더하면, 그 합 S와 자리올림수 C가 발생하는데,이때 두 출력을 동시에 나타내는 ... 회로를 반가산기라 함전가산기(Full Adder)- A, B 두 입력 외에 앞단으로부터 1개의 자리올림수도 동시에 가산을 할 수 있는 회로- 두 개의 반가산기와 1개의 OR 게이트로
    리포트 | 3페이지 | 1,500원 | 등록일 2019.05.13 | 수정일 2020.05.06
  • 시립대 전전설2 [2주차 예비] 레포트
    매트랩하고 연동도 되고 원하는 로직 게이트들을 쉽게 설계를 할 수 있는 프로그램입니다.Half adder피가수 및 가수 두 개의 입력을 받아 올림수(C)의 합(S)과 새로운 올림수 ... HALF ADDER GATE 설계ISE에서의 schemetic에서 XOR 게이트와 schemetic을 이용해서 input 2개 output 1개의 AND와 XOR 게이트를 불러온다. ... Program을 선택해주고 프로그램을 한다.(2) Procedure of Lab 2.Half Adder1 )New Project Wizard에서 family: spatan3, Device
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 전자공학 실험 덧셈회로 adder 결과 보고서
    덧셈회로1. half adderABCS0*************10위 표에서 반 가산기의 합과 자리올림에 대한 논리식이다합= A+B 이므로 2진수 덧셈 규칙과 불 대수식에 의해 S= ... 자리에서 올라온 자리올림을 함께 덧셈하여 두 자리의 합을 계산하고, 자리올림은 다음 자리에서 함께 계산되도록 하여야 한다 이렇게 2 자리 수를 자리올림과 함께 더하는 것을 Full adder라고
    리포트 | 4페이지 | 2,000원 | 등록일 2018.06.07
  • A+ 디지털 시스템 실험 기본적인Arithmetic Circuit <4주차 예비보고서>
    Half Adder 와 Full Adder의 차이점은 Full Adder는 올림의 더함까지 회로를 구현하는 것이다. z는 이전 수행에서의 올림이 들어오게 되고, 그것이 다시 x,y가 ... Add/Subtractor/Multiplier/Divider 설계실험목표① 4bit Add/Subtractor를 설계하고, Multiplier / Divier를 설계한다.기본지식① Half ... 올림이 일어나기 때문에 x,y가 1일때만 출력이 1이되는 and 게이트가 쓰였다.② Full AdderxyzSC0*************00110110010101011100111111Half
    리포트 | 2페이지 | 1,000원 | 등록일 2017.07.05
  • 가-감산기 회로도 구성
    구성- 처음 단계에서 만든 half adder를 하나의 Symbol로 만들어 full adder의 회로를 구성한다.- 두 개의 A,B 입력과 C_in의 입력을 넣어 두 개의 half ... 가-감산기 회로구성도)담당교수 : 전용석 교수님학 과 : 전기전자전공학 번 : 201157095제 출 자 : 최민재제출일자 : 2014. 04. 30※가-감산기 회로도 구성순서1) Half ... Adder 회로도 구성- 두 개의 A,B의 입력을 넣고 XOR 게이트와 AND 게이트를 사용해서 SUM과 CARRY의 출력이 나오게 회로도를 구성한다.2) Full Adder 회로도
    리포트 | 6페이지 | 1,000원 | 등록일 2014.05.07
  • A+ 디지털 시스템 실험 Arithmetic Circuit <4주차 결과보고서>
    Half Adder[그림 1] Half Adder 회로도[표 1] Half Adder 진리표[그림 2] Half Adder 시뮬레이션 결과 (입력 : a,b | 출력 : S,C)2. ... 구현 코드Half Adder 테스트벤치 코드Full Adder는 두 개의 Half Adder를 결합해 구현해 보았다. ... ] Full Adder 시뮬레이션 결과 (입력 : a,b,C_in | 출력 : S,Cout)3. 4Bit Adder[그림 5] 4 bit Adder 모식도[그림 6] 4 bit Adder
    리포트 | 9페이지 | 1,500원 | 등록일 2017.07.05
  • 전기전자기초실험 Basic Logic Circuit Design 결과레포트 (영어)
    using inverter, AND gate and OR gate, we could make half adder that is represented in circuit diagram ... FULL ADDERFull Adder8. ... HALF ADDERXYCarrySum0*************10X=0 Y=0 C=0 S=0 X=0 Y=1 C=0 S=1X=1 Y=0 C=0 S=1 X=1 Y=1 C=1 S=0By
    리포트 | 10페이지 | 1,000원 | 등록일 2017.12.01
  • [mahobife]디지털회로실험 가산기와 감산기 회로 예비보고서입니다.
    반가산기(HA : Half Adder)나. 전가산기(FA : Full Adder)다. 병렬 가산기(riffle carry adder)2. ... S가 0이면 full adder로 쓰이고 1이면 감산기가 된다.-> S=1이면 C0로 1 들어가니까 B0는 1의 보수로 나오게 되고 C0가 1로 들어가면서 adder에서 B0B1B2B3
    리포트 | 9페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • Lab#04 Combinational Logic Design 1
    Half adder7나. Prelab2. Full adder8다. Prelab3. 4bit adder9라. Prelab4. Full subtractor10마. ... Methods1) Half Adder Logic design가) 프로젝트를 생성한다. ... 수행하는 회로이다.5) SubtractorFull Adder와 마찬가지로 Half Subtractor두개가 OR형태로 묶인 것이 Full Subtractor이다.
    리포트 | 24페이지 | 1,500원 | 등록일 2016.09.11
  • 전자전기컴퓨터설계실험2(전전설2) 1주차예비
    반가산기(Half Adder)A=0 B=0S=0 C=0A=0 B=1S=1 C=0A=1 B=0S=1 C=0A=1 B=1S=0 C=14. ... 반가산기(Half Adder)가산기라는 단어가 의미하듯이 말 그대로 덧셈에 관한 회로라고 생각하면 쉽다. 반가산기의 경우 입력이 2개, 출력이 2개이다. ... 반가산기(Half Adder)교안 회로PSpice마찬가지로 주어진 회로를 브레드 보드에 구현한다. 스위치를 이용하여 pspice의 결과값을 비교해본다.회로PSpice라.
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.03.22
  • 전자전기컴퓨터설계실험2(전전설2)1주차결과
    반가산기(Half Adder)가산기라는 단어가 의미하듯이 말 그대로 덧셈에 관한 회로라고 생각하면 쉽다. 반가산기의 경우 입력이 2개, 출력이 2개이다. ... 반가산기(Half Adder)회로 구현A=0 B=0S=0C=0회로 구현A=0 B=1S=1C=0회로 구현A=1 B=0S=1C=0회로 구현A=1 B=1S=0C=14. ... 반가산기(Half Adder)교안 회로PSpice마찬가지로 주어진 회로를 브레드 보드에 구현한다. 스위치를 이용하여 pspice의 결과값을 비교해본다.회로PSpice라.
    리포트 | 14페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • XOR를 활용한 4bit_가감산기
    설계1. source_half adder2. source_Full adder3. 4bit 가감산기4. ... 설계 해석지금까지 배운 half_adder와 Full_adder를 이용하여 4bit 가감산기를 만들었습니다.가감산기에 Enable단자와 입력A를 XOR를 이용하여 넣어줌으로써,Enable단자의 ... 이용한 4bit 가감산기 >과 목 : 디지털시스템설계교 수 : 정진균 교수님일 자 : 2011년 10월 20일학 번 : 200711061이 름 :김성현Verilog - 4bit Adder
    리포트 | 4페이지 | 1,500원 | 등록일 2012.03.28
  • 전자전기컴퓨터설계실험2(전전설2)2주차예비
    시트에 Half Adder를 구현게이트 옆에 숫자는 인풋의 개수를 의미하며 wire를 이용하여 Half Adder를 구현한다.나. ... Half Adder를 Module Instance Symbol로 호출하고1-bit Full Adder를 설계하시오.1. ... Half_adder symbol 생성3. 시트에 Full_adder를 생성전가산기는 반가산기 두 개와 OR gate 하나로 구성된다.
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자전기컴퓨터설계실험2(전전설2)2주차결과
    시트에 Half Adder를 구현게이트 옆에 숫자는 인풋의 개수를 의미하며 wire를 이용하여 Half Adder를 구현한다.나. ... Half Adder를 Module Instance Symbol로 호출하고1-bit Full Adder를 설계하시오.1. ... Half_adder symbol 생성3. 시트에 Full_adder를 생성전가산기는 반가산기 두 개와 OR gate 하나로 구성된다.
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 통신회로 및 실습 - Full Adder 설계
    *전가산기 진리표*실습결과 및 고찰이번 실습은 half_adder두 개로 전가산기를 구현하는 실습이었다. ... Half Adder 구현-Set as Top Module -> Check Design Rules -> Create Schematic Symbol4. ... 통신회로 및 실습[과제 2] Full Adder 설계정보통신공학과2010160101 윤희진2013.04.021.
    리포트 | 4페이지 | 3,000원 | 등록일 2014.07.11
  • [컴퓨터공학기초설계및실험1 예비레포트] 반가산기.전가산기.반감산기.전감산기
    컴퓨터 공학 기초 설계 및 실험1예비보고서실험제목:반가산기 · 반감산기 (예비)전가산기 · 전감산기 (예비)예비보고서제목 및 목적제목반가산기(Half Adder)와 반감산기(Half ... 반감산기 회로도는 반가산기 회로도와 비교해보면 NOT 게이트만 추가되어 있는 것을 알 수 있다.참고문헌반가산기(Half Adder)/http://electroengineering.tistory.com ... /15반감산기(Half subtracter)/blog.naver.com/asd7979?
    리포트 | 6페이지 | 1,000원 | 등록일 2015.03.16
  • 시립대 전전설2 [2주차 결과] 레포트
    adder를 xor과 and 게이트의 병렬로 만들어 준다.3) half adder를 wizard를 통해 실제 핀과 형체가있는 half adder 심볼로 바꾼다.4) half adder ... 만들어진 심볼은 full adder를 이용해서 사용된다.다. half adder Symbol로 1-bit Full Adder를 설계1-bit Full Adder 설계앞서 설계한 half ... 이번 실험에서는 AND 게이트와 Half adder, Full adder, Ripple Carry Full adder등을 자일링스를 이용하여 설계하고 설계한 각각의 소자들를 키박스와
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • Verilog-디지털시스템설계
    Verilog - 6bit Adder 설계1. Gate-level 방식2. Dataflow 방식1. Gate-level 방식 >> Half_adder1. ... Gate-level 방식 >> Full_adder1. Gate-level 방식 >> 6bit_Full_adder1. Gate-level 방식 >> Test bench1. ... Dataflow 방식 >> 6bit_Full_adder2. Dataflow 방식 >> Dataflow 6bit test bench2. Dataflow 방식 >> compile2.
    리포트 | 6페이지 | 1,500원 | 등록일 2012.03.28
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:00 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대